VHDL程序错误?

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 才可以下载或查看,没有帐号?

报错port位宽不匹配,到底是应该怎样处理呢?

}

试读结束,下载后可查阅

[版权声明] 本站所有资料由用户提供并上传,若内容存在侵权,请联系邮箱。资料中的图片、字体、音乐等需版权方额外授权,请谨慎使用。网站中党政主题相关内容(国旗、国徽、党徽)仅限个人学习分享使用,禁止广告使用和商用。

开通VIP可收藏更多资料哦

未注册手机验证后自动登录

登录即代表您已阅读并同意 和

未注册用户验证后自动登录,登录即代表已阅读并同意与

}

1)一个进程中不允许出现两个时钟沿触发,(Xilinx公司CoolRunner系列CPLD支持单个时双钟的双触发沿除外)

2)对同一信号赋值的语句应出现在单个进程内,不要在时钟沿之后加上elsifelse语句,如

 (3)不能在两个以上的进程内对同一信号赋值操作,引起输出多驱动源的发生

  设计指原意是设计一个二输入与门,但因“if”语句中无“else”语句,在对此语句逻辑综合时为“else”语句中为:“C<=C;”,即保持不变。因此可能形成下图所示的电路:

  利用MAX+PLUSII软件仿真时,除了“a=1”及“b=1”时“c=1”外,其他时刻的值都不确定。为改正此错误,仅需加上如下语句即可。
  这类错误在利用“if-then-else”语句设计组合电路时常犯的。

}

我要回帖

更多关于 应用程序错误怎么解决 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信