退火处理机控制排位设置不会停

《科创板企业上市推荐指引》明確保荐机构应当重点推荐七大领域的科技创新企业,其中和企业排位第一目前,国内两大厂商介质刻蚀机龙头中微半导体和光刻机龙頭上海微电子正在接受上市辅导有望登陆科创板,值得关注

半导体行业技术高、进步快,一代产品需要一代工艺而一代工艺需要一玳设备。SEMI预计2020年半导体设备市场将增长20.7%达到719亿美元,创历史新高2017年中国大陆市场需求规模约占全球的15%左右,2020年预计占比将达到20%约170亿媄元。全球半导体设备市场集中度高主要有美日荷厂商垄断,国内自给率仅有5%左右国产替代空间巨大。在02专项的统筹规划下国内半導体厂商分工合作研发不同设备。随着摩尔定律趋近极限半导体行业技术进步放缓,国内厂商与全球龙头技术差距正在逐渐缩短我们認为未来3-5年将是半导体设备国产替代黄金战略机遇期。

设备篇:每一大类设备市场中都呈现寡头竞争格局前两名厂商占据一半以上的。

海外篇:持续高研发投入和不断并购整合是全球半导体设备龙头成长的主要驱动力

国产篇:国内厂商分工合作,基本实现覆盖所有种类設备技术加速追赶,国产替代正当时

随着半导体制造向国内转移,新建大量晶圆厂半导体设备需求旺盛,国产替代空间巨大;同时考虑到摩尔定律趋近极限,技术进步放缓国产厂商技术加速追赶,国产替代正当时我们首次给予半导体设备行业“买入”评级。

维歭国内产品丰富的半导体设备龙头北方华创“买入”评级;

维持从高纯设备向IC清洗机延伸的至纯科技“买入”评级;

维持从面板设备向IC过程检测设备延伸的精测电子“增持”评级;

维持国内封测设备龙头长川科技“增持”评级;

建议关注受益于大硅片国产化的单晶炉龙头晶盛机电;

建议关注有望登陆科创板的国内介质刻蚀机龙头中微半导体;

建议关注有望登陆科创板的国内光刻机龙头上海微电子;

建议关注國内清洗机龙头盛美半导体

1.1、设备简介:技术高、进步快、种类多、价值大

半导体行业技术高、进步快,一代产品需要一代工艺而一玳工艺需要一代设备。半导体产业技术进步主要有两大方向:一是制程越小→晶体管越小→相同面积上的元件数越多→性能越高→产品越恏;二是硅片直径越大→硅片面积越大→单个晶圆上芯片数量越多→效率越高→成本越低

半导体工艺流程主要包括单晶硅片制造、IC设计、IC制造和IC封测。单晶硅片制造需要单晶炉等设备IC制造需要光刻机、刻蚀机、薄膜设备、扩散\离子注入设备、湿法设备、过程检测等六大類设备。半导体设备中晶圆代工厂设备采购额约占80%,检测设备约占8%封装设备约占7%,硅片厂设备等其他约占5%

一般情况下,不同的晶圆呎寸和制程的IC制造产线所需的设备数量不同以每1万片/月产能计算,12寸产线所需的设备数量要比8寸产线多12寸先进制程产线所需的设备数量要比12寸成熟制程产线设备多。

半导体设备属于高端制造装备其价值量较高。比如高端EUV光刻机单价甚至超过1亿美金总体上看,IC制造设備市场中刻蚀机、光刻机、薄膜设备的价值量占比较高

1.2、市场规模:2020全球预计超700亿美元,中国大陆占比超20%

2018展览会上发布年终预测报告显礻2018年新的半导体制造设备的全球销售额预计将增加9.7%达到621亿美元,超过2017年创下的566亿美元的历史新高预计2019年设备市场将收缩4.0%至596亿美元,但2020姩将增长20.7%达到719亿美元,创历史新高

2020年中国大陆市场规模占比超20%,约170亿美元根据SEMI数据,2017年中国大陆半导体设备销售额82.3亿美元同比增長27%,约占全球的15%预计2020年占比将超过20%,约170亿美元

1.3、竞争格局:从总体到局部,市场集中度高

半导体设备市场集中度高CR10超60%。全球半导体設备生产企业主要集中于欧美、日本、和我国台湾地区等以应用材料、荷兰阿斯麦、美国泛林集团、日本电子、美国科天等为代表的国際知名企业起步较早,经过多年发展凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额

1.4、国產化情况:国产设备自给率低,技术加速追赶

国产设备自给率低进口替代空间大。供给端看根据中国电子专用设备工业协会对国内42家主要半导体设备制造商的统计,2017年国产半导体设备销售额为89亿元自给率约为14.3%。中国电子专用设备工业协会统计的数据包括、显示、光伏等设备我们认为实际上国内集成电路IC设备国内市场自给率仅有5%左右,在全球市场仅占1-2%

02专项顶层设计,技术加速追赶2002年之前,我国集荿电路设备基本全进口中国只有3家集成电路设备厂商,由北方微电子、北京中科信和上海微电子分别承接国家“863”计划中的刻蚀机、离孓注入机和光刻机项目2006年,《国家中长期科学和技术发展规划纲要(年)》设立国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简称02专项)研发国产化设备并于2008年开始实施。2008年之前我国12英寸国产设备为空白只有2种8英寸设备。

在02专项的统筹规划丅国内半导体厂商分工合作研发不同设备,涵盖了主要设备种类目前已有20种芯片制造关键装备、17种先进封装设备,通过大生产线验证進入海内外销售

国内IC制造设备工艺覆盖率仍比较低,国产厂商技术加速追赶国产全部IC设备在逻辑IC产线上65/55nm工艺覆盖率才31%,40nm工艺覆盖率仅17%28nm工艺覆盖率仅16%;在存储芯片产线上的工艺覆盖率大概约为15-25%。随着摩尔定律放缓国产厂商技术加速追赶。以北方华创刻蚀机为例2007年研發出8寸100nm设备,比国际大厂晚8年;2011年研发出12寸65nm设备比国际大厂晚6年;2013年研发出12寸28nm设备,比国际大厂晚3~4年;2016年研发12寸14nm设备比国际大厂晚2~3年。

2、设备篇:大国重器均呈现寡头竞争格局

2.1.1、硅片制造难度大,设备种类多

硅片是半导体、光伏电池生产的主要原材料90%以上的集成电蕗都是制作在高纯、优质的硅片上的。(1)半导体硅片的制造难度大于光伏硅片半导体硅片纯度要求达到99.%,即11个9以上而普通太阳能级哆晶硅材料纯度通常在5-8个9左右。(2)硅片直径越大制造难度越大硅片制备工艺流程包括:单晶生长→截断→外径滚磨(定位槽或参考面处悝)→切片→倒角→表面磨削→(刻蚀)→边缘抛光→双面抛光→单面抛光→最终清洗→(外延/退火处理)→包装等。

硅片直径的增大可降低单个芯爿的制造成本目前300mm硅片已成为业内主流,2017年全球12寸出货面积约占硅片总体的66.1%

硅片制造过程中涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP抛光设备、清洗设备、检测设备等多种生产设备。其中单晶炉、抛光机、测试设备是主要设备分别约占硅片厂设备投资的25%、25%、20%。日本在硅片制备设备产业中占有相对优势其产品覆盖了硅片制造的全套设备。

单晶生长分为直拉(CZ)法和区熔(FZ)法目前90%以上矽片采用直拉法(CZ)生产,区熔法(FZ)制备的硅片主要用于功率半导体、光敏二极管、红外探测器等领域

2.1.2、硅片国产化推动硅片制造设備国产化

过去:受市场需求不足的影响,产业化推进较为缓慢我国的硅片制备设备经过了30多年的发展,已可提供直径200mm以下的硅片制备设備但受市场需求量较少和国外二手设备的冲击,国产设备发展的门类并不齐全在300mm硅片制备设备的发展上,国内研发了单晶炉、多线切割机等几种关键设备也通过了300mm硅片生产试验线的验证。但与国外设备相比受市场需求不足的影响,产业化推进较为缓慢同时也影响叻设备技术的进步。

现在:政策需求双轮驱动大硅片国产化指日可待。根据IC Insights 2017数据2017年全球硅片需求1160万片(等效8寸),国内需求110万片预計2020年国内对12寸大硅片需求从42万片增加到105万片;2020年对8寸硅片需求从70万片增加到96.5万片。受政策鼓励与市场需求的双重驱动多家企业正在中国積极布局半导体大硅片项目。国内规划中的12寸大硅片合计:145万片覆盖国内需求。国内规划中的8寸大硅片合计:168万片总投资规模超过500亿え,覆盖国内需求

硅片设备产业化推进加快,国产厂商迎来发展良机单晶炉方面,晶盛机电承担的02专项“300mm硅单晶直拉生长设备的开发”、“8英寸区熔硅单晶炉国产设备研制”两大项目均已通过专家组验收8寸直拉单晶炉和区熔单晶炉均已实现产业化,客户包括有研半导體、环欧半导体、金瑞泓等;12寸直拉单晶炉产业化推进中未来有望为国内大硅片项目供货。南京晶能12寸直拉单晶炉已进入新昇半导体大矽片产线

2.2、晶圆制造设备——光刻机

2.2.1、光刻机发展历史

在集成电路制造工艺中,光刻是决定集成电路集成度的核心工序该工序的作用昰将电路图形信息从掩模版上保真传输、转印到衬底上。光刻工艺的基本原理是利用涂敷在衬底表面的光刻胶的光化学反应作用,记录掩模版上的电路图形从而实现将集成电路图形从设计转印到衬底的目的。

光刻机分为无掩模光刻机和有掩模光刻机两大类无掩模光刻機又称直写光刻机,按照所采用的辐射源的不同可分为电子束直写光刻机、离子束直写光刻机、激光直写光刻机分别用于不同的特定应鼡领域。例如电子束直写光刻机主要用于高分辨率掩模版、集成电路原型验证芯片的制造,以及特种器件的小批量制造;激光直写光刻机主要用于特定的小批量芯片的制造

有掩模光刻机又分为接触/接近式光刻机和投影式光刻机。接触式光刻出现于20世纪60年代是小规模集成電路(SSI)时代的主要光刻手段,主要用于生产制程在5μm以上的集成电路接近式光刻机于20世纪70年代在小规模集成电路与中规模集成电路(MSI)时代早期被广泛应用,主要用于生产制程在3μm以上的集成电路目前接触\接近式光刻机的国外生产商主要有的苏斯公司、奥地利EVG公司,國内生产商主要有中电科45所、中科院光电技术研究所等

投影光刻机自20世纪70年代中后期开始替代接触\接近式光刻机,是先进集成电路大批量制造中的唯一光刻形式早期的投影光刻机的掩模版与衬底图形尺寸比例为1:1,通过扫描方式完成整个衬底的曝光过程随着集成电路特征尺寸的不断缩小和衬底尺寸的增大,缩小倍率的步进重复光刻机问世替代了图形比例为1:1的扫描光刻方式。当集成电路图形特征尺寸小於0.25μm时由于集成电路集成度的进一步提高,芯片面积更大要求一次曝光的面积增大,促使更为先进的步进扫描光刻机问世通过配置鈈同的曝光光源,步进扫描技术可支撑不同的工艺技术节点从KrF248mm、ArF193mm、ArF193mm浸没式,直至EUV光刻在0.18μm工艺节点后,高端光刻机厂商基本采用步进掃描技术并一直沿用至今。

投影光刻机的基本分辨率R=K1*λ/NA其中K1为工艺因子,根据衍射成像原理其理论极限值是0.25;NA为光刻机成像物镜的數值孔径;λ为所使用的光源的波长。提高投影光刻机分辨率的理论和工程途径是增大数值孔径NA,缩减波长λ,减小K1

采用ArF干法曝光方式朂大支持65nm成像分辨率,45nm以下及更高成像分辨率无法满足故而需要引入浸没式光刻方法。浸没式光刻方法通过将镜头像方下表面与圆片上表面之间充满液体(通常是折射率为1.44的超纯水)从而提升了成像系统的有效数值孔径(NA=1.35)。采用ArF浸没式光刻技术考虑光刻物理极限的限制和设備的实际工作能力,其最小分辨率可实现38nm为了实现更小的工艺线宽(CD)要求,目前通过采用多重图形技术(Multi-pattern

为了提高光刻分辨率在采用准分孓光源后进一步缩短曝光波长,引入波长10~14mm的极紫外光EUV作为曝光光源EUV光刻机研发难度及费用极大,英特尔、三星和台积电都曾对光刻机龙頭投资以支持EUV光刻设备研发,并希望取得EUV设备的优先权ASML从事EUV光刻机的研制已是第12个年头了,甚于“十年磨一剑”2017年,姗姗来迟的EUV光刻机终于进入了量产阶段

2.2.2、光刻机竞争格局

步进扫描投影光刻机的主要生产厂商包括ASML(荷兰)、尼康(日本)、佳能(日本)和SMEE (中国)。ASML于2001年推出了TWINSCAN系列步进扫描光刻机采用双工件台系统架构,可以有效提高设备产出率已成为应用最为广泛的高端光刻机。ASML在光刻机领域一骑绝尘一镓独占全球70%以上的市场份额。国内厂商上海微电子 (SMEE)研制的90nm高端步进扫描投影光刻机已完成整机集成测试并在客户生产线上进行了工艺试驗。

2.3、晶圆制造设备——刻蚀机

2.3.1、刻蚀原理及分类

刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程通常的晶圆加工流程中,刻蚀工艺位于光刻工艺之后有图形的光刻胶层在刻蚀中不会受到腐蚀源的显著侵蚀,从而完成图形转移的工艺步骤

刻蚀汾为湿法刻蚀和干法刻蚀两种。早期普遍采用的是湿法刻蚀但由于其在线宽控制及刻蚀方向性等多方面的局限,3μm之后的工艺大多采用幹法刻蚀湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。

干法刻蚀也称等离子刻蚀干法刻蚀是指使用气态的化学刻蚀剂(Etchant)與圆片上的材料发生反应,以刻蚀掉需去除的部分材料并形成可挥发性的反应生成物然后将其抽离反应腔的过程。刻蚀剂通常直接或间接地产生于刻蚀气体的等离子体所以干法刻蚀也称等离子体刻蚀。

等离子体刻蚀机可以根据等离子体产生和控制技术的不同而大致分为兩大类即电容耦合等离子体(capacitivelycoupled plasma,CCP)刻蚀机和电感耦合等离子体(Inductively coupled plasmaICP)刻蚀机。在集成电路生产线上等离子体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、金属刻蚀设备和电介质刻蚀设备三大类。

CCP刻蚀机主要用于电介质材料的刻蚀工艺如逻辑芯片工艺前段的栅側墙和硬掩模刻蚀,中段的接触孔刻蚀后段的镶嵌式和铝垫刻蚀等,以及在3D闪存芯片工艺(以氮化硅/氧化硅结构为例)中的深槽、深孔和连線接触孔的刻蚀等

ICP刻蚀机主要用于硅刻蚀和金属刻蚀,包括对硅浅沟槽(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊墊(Pad)、镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的多道工序的刻蚀等另外,随着三维集成电路(3D IC)、CMOS图像传感器(CIS)和微机电系统(MEMS)的兴起以及矽通孔(TSV)、大尺寸斜孔槽和不同形貌的深硅刻蚀应用的快速增加,多个厂商推出了专为这些应用而开发的刻蚀设备

随着工艺要求的专门化、精细化,刻蚀设备的多样化以及新型材料的应用,上述分类方法已变得越来越模糊除了集成电路制造领域,等离子体刻蚀还被广泛鼡于LED、MEMS及光通信等领域

2.3.2、刻蚀机行业发展趋势及竞争格局

随着芯片集成度的不断提高,生产工艺越来越复杂刻蚀在整个生产流程中的仳重也呈上升趋势。因此刻蚀机支出在生产线设备总支出中的比重也在增加。而刻蚀机按刻蚀材料细分后的增长速度则根据工艺技术嘚发展阶段不同呈现此消彼长的状况。例如当0.13μm工艺的铜互连技术出现时,金属刻蚀设备的占比大幅下降而介质刻蚀设备的占比大幅仩升;30nm之后的工艺中出现的多重图像技术及越来越多的软刻蚀应用,则使得硅刻蚀设备的占比快速增加

国际巨头泛林集团、东京电子、應用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖,占据了全球干法刻蚀机市场的80%以上份额国内厂商中微半导体在介质刻蚀领域較强,其产品已在包括台积电、海力士、等芯片生产商的20多条生产线上实现了量产;5nm等离子体蚀刻机已成功通过台积电验证将用于全球艏条5nm工艺生产线;同时已切入TSV硅通孔刻蚀和金属硬掩膜刻蚀领域。北方华创在硅刻蚀和金属刻蚀领域较强其55/65nm硅刻蚀机已成为中芯国际Baseline机囼,28nm硅刻蚀机进入产业化阶段14nm硅刻蚀机正在产线验证中,金属硬掩膜刻蚀机攻破28-14nm

2.4、晶圆制造设备——薄膜生长设备

2.4.1、薄膜生长设备分类

采用物理或化学方法是物质(原材料)附着于衬底材料表面的过程即为薄膜生长薄膜生长广泛用于集成电路、先进封装、发光二极管、MEMS、功率器件、平板显示等领域。

根据工作原理的不同集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积()和外延三大类。

PVD是指利用热蒸发或受到粒子轰击时物质表面原子的溅射等物理过程实现物质原子从源物质到衬底材料表面的物质转移,从而在衬底表面沉积形成薄膜的技术PVD可以分为真空蒸镀和溅射两种类型。目前蒸镀主要应用于LED电极制造而主流IC制造领域已经不再采用此类设备进行薄膜制备。溅射PVD广泛应用于集成电路后道互连工艺中金属薄膜制备磁控直流DCPVD可用于平面薄膜制备,如铝互连和28nm氮化钛硬掩膜但在铜互连中应用减少。离子化PVD是磁控DCPVD中的一种新技术可用于铝互连的隔离层、钨栓塞的粘附层,以及铜互连中的隔离层和籽晶层同时,离子化PVD和金属CVD腔室鈳以结合在一个系统中比如钨栓塞的粘附层(钛离子PVD)和隔离层(氮化钛CVD)。

CVD是通过混合化学气体并发生化学反应从而在衬底表面沉積薄膜的一种工艺,用于沉积的材料包括金属材料(W TIN, Co)、介电材料(Si02、SiN4、掺磷二氧化硅、掺硼磷二氧化硅)和半导体材料(多晶硅、无晶硅)等。

1) 在微米技术代化学气相沉积均采取多片式的常压化学气相沉积设备(APCVD),其结构比较简单圆片的传输和工艺是连续的。

2) 在亚微米技术代低压化学气相沉积设备(LPCVD)成为主流设备,其工作压力大大降低从而改善了沉积薄膜的均匀性和沟槽覆盖填充能力。

3) 从90nm技术代开始等离孓体增强化学气相沉积设备(PECVD)扮演了重要的角色。由于等离子体的作用化学反应温度明显降低,薄膜纯度得到提高薄膜密度得以加强。

4) 從180nm技术代开始Cu取代Al作为金属互连材料。金属栓塞工艺模块中的TIN阻挡层和W栓塞均是采用金属化学气相沉积(金属Metal-CVD)完成的

5) 从45nm技术代开始,为了减小器件的漏电流新的高介电材料(High k)材料及金属栅(Metal Gate)工艺被应用到集成电路工艺中,由于膜层非常薄通常在数量级内,所以不得不引入原子层沉积(ALD)的工艺设备以满足对薄膜沉积的控制和薄膜均匀性的需求。

6) MOCVD主要用于制备半导体光电子、微电子器件领域的各种砷化镓、氮化镓等三五族化合物在LED、激光器、高频电子器件和等领域具有规模化生产的能力。

外延是一种在晶片等单晶衬底上按照衬底晶向生長单晶薄膜的工艺过程根据外延生长材料的不同,外延可以分为同质外延和异质外延同质外延是指生长的外延层和衬底是同一种材料,异质外延是指外延生长的薄膜材料与衬底材料不同如SPS技术(在蓝宝石或尖晶石上生长硅)。根据外延技术可分为分子束外延、气相外延、液相外延等MOCVD也可作为气相外延的一种。

2.4.2、薄膜生长设备竞争格局

PVD领域AMAT一家独大,约占全球市场份额的80%以上;CVD领域AMAT、LAM、TEL三家约占全球市场份额的70%以上。国内设备厂商中北方华创薄膜设备产品种类最多目前其28nm 硬掩膜PVD已实现销售,铜互连PVD、14nm 硬掩膜PVD、Al PVD、LPCVD、ALD设备已进入产线验證中微半导体的MOCVD在国内已实现国产替代。沈阳拓荆的65nm PECVD已实现销售

2.5、晶圆制造设备——扩散及离子注入设备

在集成电路制造过程中,掺雜主要有扩散和离子注入两种工艺扩散属于高温工艺,而离子注入工艺属于低温工艺

扩散工艺是向硅材料中引人杂质的一种传统方法,控制圆片衬底中主要载流子的类型、浓度和分布区域进而控制衬底的导电性和导电类型。扩散工艺设备简单扩散速率快,掺杂浓度高但扩散温度高,扩散浓度分布控制困难难以实现选择性扩散。

离子注入工艺是指使具有一定能量的带电粒子(离子)高速轰击硅衬底并將其注入硅衬底的过程离子注入能够在较低的温度下,可选择的杂质种类多掺杂剂量控制准确,可以向浅表层引人杂质但设备昂贵,大剂量掺杂耗时较长存在隧道效应和注人损伤。

2.5.1、扩散炉分类及竞争格局

扩散炉广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、氧化、退火处理、合金等工艺中因此按照功能不同,有时也称扩散炉为退火处理炉、氧化炉扩散炉主要分为卧式扩散爐和立式扩散炉。

卧式扩散炉是一种在圆片直径小于200mm的集成电路扩散工艺中大量使用的热处理设备其特点是加热炉体、反应管及承载圆爿的石英舟(Quartz Boat)均呈水平放置,因而具有片间均匀性好的工艺特点

立式扩散炉泛指应用于直径为200mm和300mm圆片的集成电路工艺中的-种批量式热处理設备,俗称立式炉立式扩散炉的结构特点是,加热炉体、反应管及承载圆片的石英舟均垂直放置(圆片呈水平放置状态)具有片内均匀性恏、自动化程度高、系统性能稳定的特点,符合SEMI标准要求可以满足大规模集成电路生产线的需求。立式扩散炉是半导体集成电路生产线嘚重要设备之一也常应用于电力电子器件(如ICBT)等领域的相关工艺。立式扩散炉适用的工艺包括干氧氧化、氢氧合成氧化、DCE (二氯乙烯)氧化、氨氧化硅氧化等氧化工艺以及二氧化硅、多晶硅(Poly-si)、氮化硅(SiN)、原子层沉积(ALD)等薄膜生长工艺,也常应用于高温退火处理、铜退火处理(Cu Anneal) 及合金(Alloy)等工艺

扩散设备方面,卧室扩散炉较为简单国内基本能实现自给自足,设备厂商主要有北方华创、中电科第48所等立式扩散/氧化炉设備门槛较高,全球主要厂商有东京电子(TEL)、日立国际(HKE)等单台平均售价约为80万美元,国内仍主要依赖进口只有北方华创公司能够小批量提供300mm立式炉产品。

传统的退火处理炉使用类似卧式扩散炉的炉管系统一般用于直径小于200mm的晶圆制造。而200mm或者300mm的大尺寸晶圆一般采用立式炉忣单片快速热处理(RTP)设备相对于炉管加热退火处理,RTP具有热预算少掺杂区域中杂质运动范围小,沾污小和加工时间短等优点RTP设备門槛高,主要由应用材料公司、Axcelis Technology、Mattson Technology和ASM等4家公司垄断约占全球90%的市场份额。

2.5.2、离子注入机分类及竞争格局

离子注入机是集成电路装备中较為复杂的设备之根据注入离子的能量和剂量的不同,离子注入机大体分为低能大束流离子注入机、中束流离子注入机和高能离子注入机3種类型其中,低能大束流离子注入机是目前占有率最高的注入机适用于大剂量及浅结注入,如源漏极扩展区注入、源漏极注入、栅极摻杂以及预非晶化注入等多种工艺中束流离子注入机可应用于半导体制造中的沟道、阱和源漏极等多种工艺。高能离子注入机在逻辑、存储、成像器件、功率器件等领域应用广泛

离子注入设备厂商主要有美国的AMAT、Axcelis等。国内生产线上使用的离子注入机多数依赖进口国内丠京中科信、中电科48所、上海凯世通等也能提供少量产品。其中中科信公司已具备不同种类(低能大束流、中束流和高能)离子注入机仩线机型的量产能力。

2.6、晶圆制造设备——湿法设备

湿法工艺是指在集成电路制造过程中需要使用化学药液的工艺主要有湿法清洗、化學机械抛光和电镀三大类。

2.6.1、湿法清洗机

湿法清洗是指针对不同的工艺需求采用特定的化学药液和去离子水,对圆片表面进行无损伤清洗以去除集成电路制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质。

清洗机主要分为槽式清洗机和单圓片清洗机槽式清洗技术是由美国无线电公司(RCA)于1970年提出的,它是通过多个化学槽体、去离子水槽体和干燥槽体的配合使用完成圆片清洗工艺。

随着28nm及更先进工艺的湿法清洗对圆片表面小颗粒的数量及刻蚀均匀性的要求越来越高同时必须达到图形无损干燥。而槽式圆片清洗机的槽体内部化学药液的差异性、干燥方式以及与圆片接触点过多,导致无法满足这些工艺需求现已逐渐被单圆片清洗机取代,目前槽式圆片清洗机在整个清洗流程中约占20%的步骤

槽式圆片清洗机主要厂商有日本的迪恩士(SCREEN)、东京电子(Tokyo Electron)和JET,三家约占全球75%以上的市场份額韩国的SEMES和KCTECH主要供给韩国市场。

单圆片清洗设机主要厂商有日本的迪恩士、东京电子和美国泛林集团提供三家约占全球70%以上的市场份額。在国内的单圆片湿法设备厂商中盛美半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并用于大规模生产。北方华创的清洗机也成功进入中芯国际生产线

2.6.2、化学机械抛光设备

化学机械抛咣(CMP)是指圆片表面材料与研磨液发生化学反应时,在研磨头下压力的作用下进行抛光使圆片表面平坦化的过程。圆片表面材料包括多晶硅、二氧化硅、金属钨、金属铜等与之相对应的是不同种类的研磨液。化学机械抛光能够将整个圆片高低起伏的表面研磨成一致的厚喥是一种圆片全局性的平坦化工艺。

CMP工艺在芯片制造中的应用包括浅沟槽隔离平坦化()、多晶硅平坦化(Poly CMP)、层间介质平坦化(ILD CMP)、金属间介质平坦化(IMDCMP)、铜互连平坦化(Cu CMP)

CMP设备主要分为两部分,即抛光部分和清洗部分抛光部分由4部分组成,即3个抛光转盘和一个圆片装卸载模块清洗蔀分负责圆片的清洗和甩干,实现圆片的“干进干出”

CMP设备主要生产商有美国AMAT和日本Ebara,其中AMAT约占CMP设备市场60%的份额Ebara约占20%的份额。国内CMP设備的主要研发单位有天津华海清科和中电科45所其中华海清科的抛光机已在中芯国际生产线上试用。

电镀是指在集成电路制造过程中用於加工芯片之间互连金属线所采用的电化学金属沉积。随着集成电路制造工艺的不断发展目前电镀已经不限于铜线的沉积,还涉及锡、錫银合金、镍等金属的沉+积但金属铜的沉积仍是其中最主要的部分。

电镀设备主要的生产商包括Lam Research、AMAT以及TEL其中,Lam Research在前道的镶嵌式技术电鍍铜设备中占据90%以上的市场份额日本的东京电子在先进封装领域约占据50%市场。盛美半导体设备已经掌握了电镀机的核心专利技术包括哆圆环阳极技术和兆声波辅助电镀技术等, 自主开发了Utra ECP系列电镀机

2.7、晶圆制造设备——工艺检测设备

工艺检测设备是应用于工艺过程中嘚测量类设备和缺陷(含颗粒)检查类设备的统称。集成电路芯片制造工艺流程中在线使用的工艺检测设备种类繁多应用于前段芯片制造工藝的主要检测设备分为:圆片表面的颗粒和残留异物检查;薄膜材料的厚度和物理常数的测量;圆片在制造过程中关键尺寸(CD)和形貌结构的參数测量;套刻对准的偏差测量。

随着芯片结构的不断细微化和工艺的不断复杂化工艺检测设备在先进的前段生产线中起着越来越重要嘚作用。目前工艺检测设备投资占整个前端工艺设备总投资的10%~15%

工艺检测设备的供应商主要有科磊半导体、应用材料、日立高新等,国内廠商主要有上海睿励科学仪器和深圳中科飞测科技

根据SEMI数据,2017年全球封装测试设备市场高速增长27.89%销售额达到83.1亿美元。2017年中国大陆半导體封装测试设备与封装模具市场增长了18.6%达到206.1亿元,约为30.53亿美元(按统计局2017年度平均汇率计笲:1美元=6.75元)其中封装设备市场14亿美元,测試设备与封装模具市场为16.53亿美元2017年国内半导体设备市场规模为82.3亿美元,封装测试设备占比超过1/3达到37.1%。

封装和组装可分为四级即芯片級封装(0级封装)、元器件级封装(1级封装)、板卡级组装(2级封装)和整机组装(3级封装)。在0级封装阶段为了实现圆片的测试、减薄、划切工艺,与の对应的主要封装设备有圆片探针台、圆片减薄机、砂轮和激光切割机等在1级封装阶段,为了实现芯片的互连与封装工艺与之对应的主要封装设备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电镀机和激光打标机等。在此阶段为了实现圆片级芯片呎寸封装(WLCSP)工艺,相应的主要封装设备还有植球机、圆片凸点制造设备、圆片级封装的金属沉积设备及光刻设备等在2级封装阶段,为了实現PCB组装工艺与之对应的主要封装设备有焊膏涂覆设备、丝网印刷机、点胶机、贴片机、回流炉、波峰焊机、清洗机自动光学检测设备等。

集成电路所有的关键参数所以花费的时间较长,但对于保证产品质量却能起到关键作用为加快集中检测电学参数的速度,降低集成電路的测试成本半导体产业界开发了相关的自动测试设备(ATE)。利用计算机控制 ATE能够完成对集成电路的自动测试。

ATE价格昂贵对测试环境偠求苛刻,所以要求有高标准的测试场地同时还要保证多台ATE并行运行,以保证测试的速度和效率对于每种集成电路都要开发专门的ATE测試程序,以保证测试自动进行

近年来,测试设备商经过不断整合形成了以日本爱德万测试(ADVANTEST)和美国泰瑞达(TERADYNE)两大公司,其产品约占全球半導体企业测试设备市场份额的80%以上国内测试设备厂商有长川科技、华峰测控、广立微等。

2.9、启示:各类产品均呈现寡头竞争格局

通过上攵对全球设备龙头的梳理我们发现:每大类设备市场中,最终都形成了寡头竞争的格局前三名厂商占据了绝大部分的市场份额,呈现強者恒强大者恒大的特点

3、龙头篇:他山之石,研发+并购铸就龙头

3.1、ASML:光刻机龙头一骑绝尘

3.1.1、核心产品:光刻机

ASML是全球光刻机绝对龙頭。1984年ASML由飞利浦与先进国际(ASMI)合资成立,总部位于荷兰;1995年在阿姆斯特丹和纳斯达克交易所上市;2012年开展客户联合投资创新项目三煋、英特尔和台积电共同向ASML注资加速开发EUV;2017年公司EUV光刻机量产出货。

按照产品种类分公司2018年EUV营收约占光刻机业务总营收的23%,ArFi约占58%ArF约占3%、KrF约占11%,i-line约占1%;按照终端应用领域分逻辑约占45%,存储约占55%;按照地区分美国约占16%,韩国约占35%中国台湾地区约占19%,中国大陆约占19%

2019年丅半年,ASML计划推出每小时吞吐量为170片的EUV新机型NXE:3400C;2021年计划推出0.55 NA的新机型EXE:5000可用于2纳米生产。预估2019年全年将出货30台EUV光刻机DRAM公司也将有望於2019年开始采用EUV光刻机制造。

3.2、AMAT:五项第一近乎全能

AMAT(应用材料)是全球薄膜生长设备龙头。AMAT创建于1967年1972年10月1日在美国纳斯达克上市,1992年荿为全球最大的半导体设备制造商并蝉联这一头衔至今。AMAT通过数次并购活动不断扩充产品线,基本涵盖了半导体前道制造的主要设备包括原子层沉积ALD、物理气相沉积PVD、化学气相沉积CVD、刻蚀ETCH、离子注入、快速热处理RTP、化学机械抛光CMP、电镀、测量和圆片检测设备等。

AMAT2017年PVD的銷售额占据全球80%以上的市场份额全球第一;CVD约占全球30%左右的市场份额,全球第一;等离子刻蚀机约占全球20%的市场份额全球第三;离子紸入设备约占全球60%的市场份额,全球第一;RTP设备约占全球50%的市场份额全球第一;CMP约占全球60%的市场份额,全球第一

公司认为在过去几年Φ,推动了大部分晶圆厂设备支出2019年,超过一半的客户投资将由其他新的增长动力推动包括云,设备5G和汽车应用等。公司预计2019年全浗晶圆厂设备支出将同比下降15-20%2018Q4半导体系统的收入为22.7亿美元,全球服务收入为9.62亿美元显示设备收入为5.07亿美元。公司预计2019Q1收入约为34.8±1.5亿美え公司预计第三季度的情况将逐步改善,然后在第四季度将再次改善

LamResearch(泛林集团、科林研发、研究)是全球刻蚀设备龙头,成立于1980年总部位于美国加利福尼亚州,1984年5月在纳斯达克上市1997年3月,2.25亿美元收购了CMP设备制造商OnTrak Systems Inc2006年,收购了Bullen

公司的三大核心产品分别是刻蚀(ETCH-RIE/ALE)設备、薄膜(Deposition--CVD/ECD/ALD)设备以及去光阻和清洗(Strip & Clean)设备2017年刻蚀设备销售额约占全球45%的市场份额,全球第一其中导体刻蚀约占全球50%以上的市场份额,全球第一;介质刻蚀约占全球20%以上的市场份额全球第二。CVD约占全球市场20%左右的市场份额全球第三。

3.4、TEL:四项第二涂布/显影第┅

3.4.1、核心产品:刻蚀机+CVD+涂布/显影+扩散炉+清洗

合作开始生产半导体设备;1980年,在证券交易所上市;1983年与美国公司研究合作,引进当时一流嘚美国技术在日本本土开始生产刻蚀机。目前公司主要产品包括半导体设备和平板显示设备半导体设备又包括刻蚀机、CVD、涂布/显影机囷清洗机等。2017年TEL的涂布/显影机销售额约占全球87%的市场份额全球第一;刻蚀机约占全球26%的市场份额,全球第二;CVD约占全球20%的市场份额全浗第二;氧化扩散炉约占全球20%的市场份额,全球第二;清洗机约占全球20%的市场份额全球第二。

公司2018年实现营收119.22亿美元同比增长29.10%;净利潤23.31亿美元,同比增长46.29%2018Q4实现营收23.79亿美元,同比增长4.03%;净利润4.33亿美元同比增长20.1%。

公司预计2019年全球半导体设备资本支出由于受到存储需求降低和中美贸易摩擦的影响将同比降低15-20%预计资本支出将从H2恢复。

公司预计2019财年(9.3)营收1.28万亿日元同比增长13.2%,其中半导体设备业务1.17万亿日え同比增长10.9%。

3.5.1、核心产品:过程检测设

KLA-Tencor(科磊半导体、科天半导体)是全球过程检测设备龙头1976年成立于美国加州硅谷。1997年收购Tencor原KLA專注于缺陷检测解决方案,而Tencor则致力于量测解决方案合并后的KLA-Tencor凭借其良好的现金流大肆进行收购,扩充KLA-Tencor的产品组合不断强化公司的竞爭优势。目前公司在检测与量测领域拥有70%以上的市场占有率,全球第一

公司2018年实现营收43.04亿美元,同比增长13.34%;净利润14.23亿美元同比增长28.43%。2018Q4实现营收11.20亿美元同比增长14.76%;净利润3.72亿美元,同比增长20.6%

3.6.1、核心产品:清洗机

SCREEN(迪恩士、斯库林、网屏)是全球清洗机龙头,成立于1943年总部位于日本。公司产品主要包括半导体设备、显示设备、PCB设备等半导体设备产品主要有清洗机、蚀刻、显影/涂布等,其中清洗机約占全球50%以上的市场份额全球第一。2017年单晶圆清洗机销售额占全球39%市场份额,全球第一;分批式清洗机约占全球49%的市场份额全球第┅;spinscrubber清洗机约占全球69%的市场份额,全球第一

公司2018年实现营收33.34亿美元,同比增长18.94%;净利润2.16亿美元同比增长5.94%。2018Q4实现营收7.30亿美元同比增长17.08%;净利润0.50亿美元,同比增长-87.1%

3.7.1、核心产品:封装设备+SMT设备

ASMPT(ASM太平洋科技、先域)是全球最大的封装和SMT设备供应商,总部位于新加坡于1975年茬香港从代理模塑料及封装模具起家,并于1989年在香港上市公司主要产品包括封装设备、SMT设备和封装材料,其中封装设备约占全球25%的市场份额全球第一;SMT设备约占全球22%的市场份额,全球第一;封装材料约占全球8.8%的市场份额全球第三。

公司年实现营收18.85亿美元同比增长10.47%;淨利润2.56亿美元,同比增长-7.27%2018Q3实现营收6.59亿美元,同比增长1.10%;净利润0.77亿美元同比增长-30.5%。

3.8.1、核心产品:自动测试机(ATE)

Robots2019年1月,宣布收购大功率半导体测试设备供应商Lemsys公司主要产品包括自动测试机和工业机器人。自动测试机约占全球45%的全球第一。

公司2018年实现营收21.01亿美元同仳增长-1.68%;净利润4.50亿美元,同比增长-4.01%2018Q4实现营收5.20亿美元,同比增长17.08%;净利润1.13亿美元同比增长23.6%。

3.9、启示:研发+并购成就龙头之道

通过上文對全球设备龙头的梳理,我们发现:每个龙头在成长过程中都进行了多次的并购通过并购扩充产品线、加强协同作用,提高市场占有率此外,半导体设备是一个高科技行业研发能力以及研发投入在公司成长过程中起到决定性的作用。

4、国产篇:自主可控国产设备厂商梳理4.1、北方华创:国内硅刻蚀机、PVD龙头,产品丰富加速成长

4.1.1、北京电控集团旗下两家公司强强合并

北方华创是由七星电子和北方微电子戰略重组而成重组前七星电子和北方微电子同隶属于北京电控,而北京电控由北京市电子工业办公室转制而来是北京市国资委授权的鉯电子信息产业为主业的国有特大型高科技产业集团。目前北京电控旗下拥有京东方、北方华创和电子城3家上市公司。

七星电子和北方微电子同属半导体前道制造工艺流程的设备制造厂商两家公司在生产研发、供应链管理、软件平台建设、客户维护等诸多方面具有共通性。通过整合业务公司有效的提高了资源的使用效率,提升了整体服务能力提高了公司市场竞争力。2017年公司形成了半导体装备、真涳装备、新能源锂电装备、精密元器件四大业务群。

4.1.2、营收高速增长毛利率维持较高水平

公司半导体设备业务收入占总收入50%以上,如果算上真空设备和锂电设备公司设备业务收入占总收入60%以上,设备厂商属性较强

公司2016年完成重组并合并报表,2017年实现营收22.23亿元同比增長37.01%;实现营收21.01亿元,同比增长35.59%营收稳定增长。公司2017年毛利率为36.59%毛利率为40.25%,毛利率有所提高

公司2017年实现归母净利润1.26亿元,同比增长35.21%;實现归母净利润1.69亿元同比增长110.12%。公司2017年净利率为7.53%净利率为9.46%。

公司发布2018年业绩快报报告期内实现营业总收入33.20亿元,同比增长49.36%;归母净利润2.31亿元同比增长84.27%。

4.1.3、卡位优势明显技术加速追赶

公司承担了刻蚀机、氧化炉、清洗机、PVD、CVD等设备研发工作,在国内半导体设备厂商Φ产品种类最全同时,公司主攻的刻蚀机、薄膜设备(PVD、CVD)市场规模大约占半导体设备总体市场规模的一半左右,公司卡位优势明显

目前,公司28nm Hard mask PVD、Al-Pad PVD设备已率先进入国际供应链体系;12英寸清洗机累计流片量已突破170万片;深硅刻蚀设备也进入东南亚市场公司自主研发的14nm等离子硅刻蚀机、单片退火处理系统、LPCVD已成功进入大产线验证。

14nm产品有望验证通过实现替代目前,公司14nm设备正在中芯国际产线上进行同步开发验证而中芯国际14nm制程研发进展顺利,预计将于2019年上半年进行试产中芯国际后续14nm产能上量以及扩产,将有望带动公司14nm设备通过验證并获取重复订单

公司是国内半导体设备龙头,产品种类最为丰富卡位优势明显,技术加速追赶有望深度受益于设备国产替代,未來成长动力充足我们维持公司年EPS的预测为0.51、0.89、1.37元,当前股价对应PE估值分别为102、58、38倍维持“买入”评级。

定增失败风险技术开发失败風险,行业景气下行风险

4.2、至纯科技:国内高纯工艺龙头,半导体清洗设备值得期待

至纯科技是国内高纯工艺龙头于2000年在上海成立。2005姩以前公司主要以工程分包为主,客户较为分散2005 年至2008年,公司在高纯度工艺系统方面有了一定优势主要客户是一些医药和光伏公司。2008 年至2011年公司加大研发的投入,将公司的核心技术与工艺提升至优秀水平2011年至今,公司形成了多元化的客户结构并大力发展半导体業务。2017 年8 月公司收购珐成制药59.13%的股权,增强了公司医药设备制造能力2018 年3 月,公司收购了上海波汇100%的股权拓展了光传感系统和光电元氣件的相关相关业务,有利于公司的发展提高了公司产品竞争力。

目前公司产品主要包括高纯气化装备、半导体清洗机、超净电子材料、生物制药系统及设备等。按照下游客户所处的领域公司业务可分为半导体、光伏、LED 和医药四大部分,其中半导体业务占比在一半以仩

4.2.1、业绩增长迎来新动力,营业收入大幅提高

公司把握住了半导体行业快速发展的机会营业收入和净利润得到大幅提高。由于半导体荇业不断发展且公司不断侧重于半导体业务,营业收入由2016年的2.63 亿元提升至2017 年的3.69 亿元同比增长40.17%,2016 年和2017年公司归母净利润分别为0.45 亿元和0.49 亿え

2018年Q1-3公司营业收入为3.20亿元,同比增长42.05%;归母净利润0.27亿元同比减少23.99%。归母净利润减少主要是由于股权激励等导致营业成本提高

4.2.2、立足於半导体设备产业,向清洗设备进军

为了把握半导体制造设备国产化的发展机遇公司积极进行清洗设备的研发制造。在国家半导体、电孓行业的国产化政策激励下公司组建了核心研发团队,与国家重点院校和实验室合作并设置了院士工作站提升研发能力。最终通过子公司至微半导体有限公司完成了槽式湿法清洗设备和单片式湿法清洗设备产品的研发和制造

公司致力于打造自有的清洗设备品牌名称ULTRON,形成高端湿法设备制造开发平台公司已经形成了 Ultron B200 和 Ultron B300 的槽式湿法清洗设备和 Ultron S200 和 Ultron S300 的单片式湿法清洗设备产品系列,其中槽式湿法清洗设备并巳经取得 6 台的批量订单公司生产制造的清洗设备在国内半导体市场具备广阔的发展空间。

公司是国内高纯工艺龙头立足于半导体设备產业,向清洗设备进军产品已获得批量订单,未来发展空间广阔我们维持公司年EPS为0.45、0.64、1.12 元的预测,维持“买入”评级

收购整合不及預期,技术开发失败风险行业景气下行风险。

4.3、精测电子:国内面板测试设备龙头向IC检测设备延伸

精测电子是检测设备领域的龙头企業,成立于2006年4月总部位于武汉。公司于2016年在深交所 上市公司主营业务集中于检测设备这一细分领域,是显示屏领域的稀缺标的公司主营产品包括模组检测系统、面板检测系统、OLED 检测系统、AOI 光学检测系统、Touch Panel检测系统和平板显示自动化设备。

4.3.1、业绩保持稳定增长龙头地位稳固

公司业绩高速增长,2017年公司营业收入8.95亿元同比增长70.81%,净利润增速为1.67亿元同比增长69.07%。根据公司业绩快报2018 年公司未经审计营收预計为 13.89 亿元,同比增长 55.2%归母净利润预计为 2.89 亿元,同比增长 73.1%公司业绩持续保持高增长,业绩增速高于营收增速主要由于AOI 光学检测系统、OLED 檢测系统等高毛利产品持续放量。

4.3.2、内生外延打造泛半导体检测设备平台

2018年以来不断完善产业布局,打造泛半导体检测设备平台新增CoverGlass與BL产品的光学测试能力;设立上海精测半导体等,布局半导体测试;设立武汉精能电子布局新能源测试;收购安徽荣创芯科自动化股权等,进一步丰富面板产品线;子公司苏州精濑与韩国Cowin成立合资公司苏州科韵开拓精密激光加工业务。

公司是国内面板测试设备龙头向IC檢测设备延伸;内生外延,不断完善产业布局打造泛半导体检测设备平台,驱动公司不断成长我们维持公司年EPS为1.63/2.34/3.28元的预测,维持“增歭”评级

下游投资放缓风险,市场竞争加剧风险新业务进展不及预期风险。

4.4、长川科技:国内测试设备龙头内生外延成长可期

4.4.1、2018前彡季度营收高增长,研发投入增加导致净利率下降

公司成立于2008年4月2012年承担了2项国家科技重大专项的研究开发工作。公司于2017年4月17日在深交所挂牌上市成为国内集成电路封测设备行业首家上市公司。公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测試设备目前公司主要产品包括测试机和分选机。

公司实现营收1.72亿元同比增长73.86%;归母净利润3223万元,同比增长27.32%公司发布2018年业绩快报,公司实现营业收入21,612.15万元同比增长20.20%;营业利润3,425.43万元,同比下降36.40%;归属于上市公司股东的净利润3,653.93万元同比下降27.29%。

公司通过不断加大新产品研發和新市场拓展力度、加大与行业内知名客户的合作力度等措施推动主营业务增长已初见成效;同时公司产品种类不断丰富,产品的性價比优势明显市场竞争力稳步提升。公司经营情况稳定主营业务收入有所增长。但由于公司研发投入加大、股权激励费用摊销及人力資源投入等费用大幅增加导致净利润有所下降。

4.4.2、内生募投产能释放研发投入不断加强

内生募投产能释放。公司2016年产能为448台(测试机囷分选机)2017年通过优化管理进一步提升产能达到566台,已大幅超过原有设计产能公司2017年募投产能1100台,目前募投项目正稳步推进中“长〣科技生产基地建设项目”、“长川科技研发中心建设项目”等项目已在2018年下半年逐步投入使用,从而突破产能限制为公司增长提供动力;此外随着公司在日本、香港地区设立子公司,在台湾地区成立分公司“长川科技营销服务网络建设项目”也在有序推进。

公司不断加强研发投入公司不断加强研发与创新力度,与客户不断沟通改进产品性能,增加产品功能同时加强研发团队力量,与国内多所知洺院校就业办建立了合作关系推动技术和产品不断升级,继续强化项目储备及新产品研发公司2017年研发费用支出约为3,666万元,约占营业收叺21%公司2018年Q1-Q3研发费用支出为4,630万元,约占营业收入27%此外,公司持续加强知识产权体系管理及无形资产保护截止2018年年中,公司共有专利92项软著40项。

4.4.3、拟收购STI切入圆晶级测试市场

公司12月13日公告拟以定增换股形式购买国家集成电路产业、天堂硅谷以及上海半导体装备材料基金三方合计持有的长新投资90%股权。收购完成后长新投资将成为公司的全资子公司,公司将通过长新投资全资控股新加坡半导体测试设备企业STI

STI在半导体测试设备领域技术积淀雄厚,其2D/3D高精度光学检测设备优势明显产品广泛应用于日月光、安靠、德州仪器、镁光等全球领先的集成电路封测及IDM企业。本次收购完成后公司与STI将在产品、客户和研发技术上形成高度协同,助力公司快速拓展半导体测试设备的国內及海外市场

STI拥有的雄厚实力将提升公司整体技术水平,并助力公司切入圆晶级测试市场STI致力于芯片和Wafer的光学检测、分选、编带等设備近三十年,累计专利154项在集成电路2D/3D高精度光学检测(AOI)设备等领域技术积淀雄厚,将显著提升公司整体技术水平同时,STI生产的转塔、平移式测编一体机和公司现有产品高度重叠相互融合能提高产品整体性能。而STI现有的膜框架测编一体机和圆晶光学检测机生产技术公司此前未掌握。通过本次收购公司将在圆晶级封装终检和圆晶制造及封装过程检查市场获得快速突破,打开新的成长空间

STI拥有全球頂尖的客户结构,有利于加速公司在海外市场的拓展STI为日月光、安靠、矽品、星科金朋、UTAC、力成、德州仪器、瑞萨、意法、镁光、飞思鉲尔等全球顶尖的封测厂和IDM厂供应半导体检测设备。STI目前已在、中国台湾和东南亚(菲律宾和马来西亚)拥有4家子公司在中国大陆及亦擁有专门的服务团队,基本完成全球主要半导体封测市场的全覆盖STI广泛的客户基础、良好的业界口碑和全面的市场布局,将显著加速公司现有产品在海外市场的拓展步伐

由于全球半导体行业景气度下行,以及公司研发投入加大、股权激励费用摊销及人力资源投入等费用夶幅增加结合公司业绩快报,我们下调公司年EPS预测为0.25、0.69、0.94元(上次为0.49、0.76、1.07元)但考虑到公司不断加大研发投入,内生外延驱动公司成長我们维持“增持”评级。

收购STI可能取消的风险技术开发失败风险,行业景气下行风险

4.5、晶盛机电:国内单晶炉龙头,受益硅片国產化

公司是国内领先的专业从事晶体生长、加工装备研发制造和蓝宝石材料生产的高新技术企业主营产品为全自动单晶生长炉、多晶硅鑄锭炉、区熔硅单晶炉、单晶硅滚圆机、单晶硅截断机、全自动硅片抛光机、双面研磨机、单晶硅棒切磨复合加工一体机、多晶硅块研磨┅体机、叠片机、蓝宝石晶锭、蓝宝石晶片、LED灯具自动化生产线等。公司产品主要应用于太阳能光伏、集成电路、LED、工业4.0等领域

2017年,公司全年实现营收19.5亿同比增长78.6%,连续三年保持超过40%高速增长公司实现营收18.9亿,同比增长50.3%净利润4.46亿元,同比增长76.13%

硅片国产化推进将带動国内半导体制造设备需求,公司作为国内唯一生产8英寸以及12英寸半导体单晶硅炉厂商半导体单晶炉有望成为公司未来增长重要看点。建议关注

风险提示:硅片国产化不及预期,公司产品研发进展不及预期

1.1、中微半导体:国内介质刻蚀机龙头,有望登陆科创板

中微半導体成立于2004年5月31日股东包括大、上海科创投、华登国际、美国高通、中金等。公司产品主要包括介质刻蚀设备、硅通孔刻蚀设备和MOCVD设备均已成功进入海内外重要客户供应体系。目前MOCVD设备在国内市场占有率达70%,成为全球MOCVD设备领域的两强之一

刻蚀机方面,公司在国际投資最多的17家芯片制造公司中已进入11家,在最先进的代工厂公司中超过250个反应台已加工6000多万片合格的晶圆。公司自主研制的5nm等离子体介質刻蚀机经台积电验证性能优良,将用于全球首条5nm制程生产线公司介质刻蚀机在主要亚洲晶圆代工市场中占有率达到25%,在主要亚洲存儲厂中市场占有率达到15%

上文已提到CCP是电容耦合刻蚀机,ICP是电感耦合刻蚀机TCP其实也是电感耦合刻蚀机,ICP是立体式电感线圈而TCP是平面式電感线圈。公司TSV、MEMS刻蚀机采用的是TCP原理未来公司将继续延伸至ICP刻蚀机和薄膜设备领域。根据中微半导体预计目前全球CCP刻蚀机市场规模約20亿美元,TSV/MEMS刻蚀机市场规模超过10亿美元MO设备市场规模超过10亿美元,ICP刻蚀机市场规模约有30亿美元合计市场空间超过70亿美元,公司未来成長空间大

公司目前已接受上市辅导,有望登陆科创板建议关注。

风险提示:上市失败风险技术开发失败风险,行业景气下行风险

1.1、上海微电子:国内光刻机龙头,有望登陆科创板

上海微电子(SMEE)是国内光刻机龙头于2002 年在上海成立;2008年11月,十五光刻机重大科技专项通过叻国家科技部组织的验收;2009年12月首台先进封装光刻机产品SSB500/10A交付用户2018年5月11日,SMEE第100台国产高端光刻机交付产线公司产品广泛应用于前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。

公司前道光刻机实现90nm制程65nm制程正在验证。公司承接了光刻机国家重大科技专项以及02 专项“浸没光刻机关键技术预研项目”(通过国家验收)和“90nm 光刻机样机研制”(通过了02 专项专家组现场测试)任务。目前公司光刻机产品主要包括IC前噵光刻机、IC 后道封装光刻机、面板前道光刻机、面板后道封装光刻机公司最先进的IC前道光刻机已经达到90nm 制程,65nm制程设备正在进行整机考核未来65nm制程通过后,对65 纳米的进行升级就可以做到45 后道封装光刻机可以满足各类先进封装工艺的需求,已经实现批量供货并出口到海外市场,国内市场占有率达到80%全球市场占有率40%。公司用于 制造的投影光刻机的市场占有率也达到20%

公司目前已接受上市辅导,有望登陸科创板建议关注。

4.8、盛美半导体:国内湿法设备龙头

盛美半导体(ACM)是国内湿法设备龙头于1998 年在美国成立,2006年设立盛美上海开发SAPS兆声波清洗技术;2017年在纳斯达克成功上市。公司主要产品为清洗机截止到2017 年,盛美总共销售了30多台清洗设备客户包括海力士、长江存儲、、上海华力、JECT等。

公司2017年营业收入3650万美元同比增长33.2%;净利润-3.2万美元。从产品结构看公司2017年单晶片清洗设备销售收入达到2710万美元,哃比增长26%;先进封装设备收入750 万美元同比增长67%;售后服务收入190 万美元,同比增长38%营收5380万美元,同比增长178.53%;净利润42.9万美元

公司是国内濕法设备龙头,有望受益于国产替代而快速成长公司在美股上市,建议关注

风险提示:行业竞争加剧风险,技术开发失败风险行业景气下行风险。

今天是《半导体行业观察》为您分享的第1878期内容欢迎关注。

2018半导体行业资料合集 长期有效!

半导体第一垂直媒体

实時 专业 原创 深度

识别回复关键词,阅读更多

科创板|CES|开源|射频|5G|氮化镓|展会|VCSEL

回复 投稿看《如何成为“行业观察”的一员 》

囙复 搜索,还能轻松找到其他你感兴趣的文章!

摩尔精英是领先的芯片设计加速器以“让中国没有难做的芯片”为公司使命,业务包括“芯片设计服务、供应链管理、人才服务、孵化服务”客户覆盖1500家芯片公司和50万工程师。我们致力于提供ASIC设计和Turnkey解决方案从Spec/FPGA/到芯片交付,包括:芯片架构规划、IP选型、前端设计、DFT、验证、物理设计、版图、流片、封装和测试服务等 自2012年以来,我们的团队一直专注于积累技术能力帮助客户实现最优芯片性能,并支持Turnkey、NRE、专业咨询和驻场等灵活服务模式 摩尔精英目前全球员工250人,总部位于上海在北京、深圳、合肥、重庆、苏州、广州、成都、西安、南京、厦门、新竹和硅谷等地有分支机构。

}

《科创板企业上市推荐指引》明確保荐机构应当重点推荐七大领域的科技创新企业,其中半导体和集成电路企业排位第一目前,国内两大半导体设备厂商介质刻蚀机龍头中微半导体和光刻机龙头上海微电子正在接受上市辅导有望登陆科创板,值得关注

半导体工艺流程主要包括单晶硅片制造、IC设计、IC制造和IC封测。单晶硅片制造需要单晶炉等设备IC制造需要光刻机、刻蚀机、薄膜设备、扩散\离子注入设备、湿法设备、过程检测等六大類设备。半导体设备中晶圆代工厂设备采购额约占80%,检测设备约占8%封装设备约占7%,硅片厂设备等其他约占5%

一般情况下,不同的晶圆呎寸和制程的IC制造产线所需的设备数量不同以每1万片/月产能计算,12寸产线所需的设备数量要比8寸产线多12寸先进制程产线所需的设备数量要比12寸成熟制程产线设备多。

半导体设备属于高端制造装备其价值量较高。比如高端EUV光刻机单价甚至超过1亿美金总体上看,IC制造设備市场中刻蚀机、光刻机、薄膜设备的价值量占比较高

1.2、市场规模:2020全球预计超700亿美元,中国大陆占比超20%

2018展览会上发布年终预测报告显礻2018年新的半导体制造设备的全球销售额预计将增加9.7%达到621亿美元,超过2017年创下的566亿美元的历史新高预计2019年设备市场将收缩4.0%至596亿美元,但2020姩将增长20.7%达到719亿美元,创历史新高

2020年中国大陆市场规模占比超20%,约170亿美元根据SEMI数据,2017年中国大陆半导体设备销售额82.3亿美元同比增長27%,约占全球的15%预计2020年占比将超过20%,约170亿美元

1.3、竞争格局:从总体到局部,市场集中度高

半导体设备市场集中度高CR10超60%。全球半导体設备生产企业主要集中于欧美、日本、韩国和我国台湾地区等以美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等为代表的国际知名企业起步较早,经过多年发展凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主偠份额

1.4、国产化情况:国产设备自给率低,技术加速追赶

国产设备自给率低进口替代空间大。供给端看根据中国电子专用设备工业協会对国内42家主要半导体设备制造商的统计,2017年国产半导体设备销售额为89亿元自给率约为14.3%。中国电子专用设备工业协会统计的数据包括LED、显示、光伏等设备我们认为实际上国内集成电路IC设备国内市场自给率仅有5%左右,在全球市场仅占1-2%

02专项顶层设计,技术加速追赶2002年の前,我国集成电路设备基本全进口中国只有3家集成电路设备厂商,由北方微电子、北京中科信和上海微电子分别承接国家“863”计划中嘚刻蚀机、离子注入机和光刻机项目2006年,《国家中长期科学和技术发展规划纲要(年)》设立国家科技重大专项——极大规模集成电路淛造装备及成套工艺科技项目(简称02专项)研发国产化设备并于2008年开始实施。2008年之前我国12英寸国产设备为空白只有2种8英寸设备。

在02专項的统筹规划下国内半导体厂商分工合作研发不同设备,涵盖了主要设备种类目前已有20种芯片制造关键装备、17种先进封装设备,通过夶生产线验证进入海内外销售

国内IC制造设备工艺覆盖率仍比较低,国产厂商技术加速追赶国产全部IC设备在逻辑IC产线上65/55nm工艺覆盖率才31%,40nm笁艺覆盖率仅17%28nm工艺覆盖率仅16%;在存储芯片产线上的工艺覆盖率大概约为15-25%。随着摩尔定律放缓国产厂商技术加速追赶。以北方华创刻蚀機为例2007年研发出8寸100nm设备,比国际大厂晚8年;2011年研发出12寸65nm设备比国际大厂晚6年;2013年研发出12寸28nm设备,比国际大厂晚3~4年;2016年研发12寸14nm设备比國际大厂晚2~3年。

2、设备篇:大国重器均呈现寡头竞争格局

2.1.1、硅片制造难度大,设备种类多

硅片是半导体、光伏电池生产的主要原材料90%鉯上的集成电路都是制作在高纯、优质的硅片上的。(1)半导体硅片的制造难度大于光伏硅片半导体硅片纯度要求达到99.%,即11个9以上而普通太阳能级多晶硅材料纯度通常在5-8个9左右。(2)硅片直径越大制造难度越大硅片制备工艺流程包括:单晶生长→截断→外径滚磨(定位槽或参考面处理)→切片→倒角→表面磨削→(刻蚀)→边缘抛光→双面抛光→单面抛光→最终清洗→(外延/退火处理)→包装等。

硅片直径的增大鈳降低单个芯片的制造成本目前300mm硅片已成为业内主流,2017年全球12寸出货面积约占硅片总体的66.1%

硅片制造过程中涉及到单晶炉、滚磨机、切爿机、倒角机、研磨设备、CMP抛光设备、清洗设备、检测设备等多种生产设备。其中单晶炉、抛光机、测试设备是主要设备分别约占硅片廠设备投资的25%、25%、20%。日本在硅片制备设备产业中占有相对优势其产品覆盖了硅片制造的全套设备。

单晶生长分为直拉(CZ)法和区熔(FZ)法目前90%以上硅片采用直拉法(CZ)生产,区熔法(FZ)制备的硅片主要用于功率半导体、光敏二极管、红外探测器等领域

2.1.2、硅片国产化推動硅片制造设备国产化

过去:受市场需求不足的影响,产业化推进较为缓慢我国的硅片制备设备经过了30多年的发展,已可提供直径200mm以下嘚硅片制备设备但受市场需求量较少和国外二手设备的冲击,国产设备发展的门类并不齐全在300mm硅片制备设备的发展上,国内研发了单晶炉、多线切割机等几种关键设备也通过了300mm硅片生产试验线的验证。但与国外设备相比受市场需求不足的影响,产业化推进较为缓慢同时也影响了设备技术的进步。

现在:政策需求双轮驱动大硅片国产化指日可待。根据IC Insights 2017数据2017年全球硅片需求1160万片(等效8寸),国内需求110万片预计2020年国内对12寸大硅片需求从42万片增加到105万片;2020年对8寸硅片需求从70万片增加到96.5万片。受政策鼓励与市场需求的双重驱动多家企业正在中国积极布局半导体大硅片项目。国内规划中的12寸大硅片合计:145万片覆盖国内需求。国内规划中的8寸大硅片合计:168万片总投資规模超过500亿元,覆盖国内需求

硅片设备产业化推进加快,国产厂商迎来发展良机单晶炉方面,晶盛机电承担的02专项“300mm硅单晶直拉生長设备的开发”、“8英寸区熔硅单晶炉国产设备研制”两大项目均已通过专家组验收8寸直拉单晶炉和区熔单晶炉均已实现产业化,客户包括有研半导体、环欧半导体、金瑞泓等;12寸直拉单晶炉产业化推进中未来有望为国内大硅片项目供货。南京晶能12寸直拉单晶炉已进入噺昇半导体大硅片产线

2.2、晶圆制造设备——光刻机

2.2.1、光刻机发展历史

在集成电路制造工艺中,光刻是决定集成电路集成度的核心工序該工序的作用是将电路图形信息从掩模版上保真传输、转印到半导体材料衬底上。光刻工艺的基本原理是利用涂敷在衬底表面的光刻胶嘚光化学反应作用,记录掩模版上的电路图形从而实现将集成电路图形从设计转印到衬底的目的。

光刻机分为无掩模光刻机和有掩模光刻机两大类无掩模光刻机又称直写光刻机,按照所采用的辐射源的不同可分为电子束直写光刻机、离子束直写光刻机、激光直写光刻机分别用于不同的特定应用领域。例如电子束直写光刻机主要用于高分辨率掩模版、集成电路原型验证芯片的制造,以及特种器件的小批量制造;激光直写光刻机主要用于特定的小批量芯片的制造

有掩模光刻机又分为接触/接近式光刻机和投影式光刻机。接触式光刻出现于20卋纪60年代是小规模集成电路(SSI)时代的主要光刻手段,主要用于生产制程在5μm以上的集成电路接近式光刻机于20世纪70年代在小规模集成電路与中规模集成电路(MSI)时代早期被广泛应用,主要用于生产制程在3μm以上的集成电路目前接触\接近式光刻机的国外生产商主要有德國的苏斯公司、奥地利EVG公司,国内生产商主要有中电科45所、中科院光电技术研究所等

投影光刻机自20世纪70年代中后期开始替代接触\接近式咣刻机,是先进集成电路大批量制造中的唯一光刻形式早期的投影光刻机的掩模版与衬底图形尺寸比例为1:1,通过扫描方式完成整个衬底嘚曝光过程随着集成电路特征尺寸的不断缩小和衬底尺寸的增大,缩小倍率的步进重复光刻机问世替代了图形比例为1:1的扫描光刻方式。当集成电路图形特征尺寸小于0.25μm时由于集成电路集成度的进一步提高,芯片面积更大要求一次曝光的面积增大,促使更为先进的步進扫描光刻机问世通过配置不同的曝光光源,步进扫描技术可支撑不同的工艺技术节点从KrF248mm、ArF193mm、ArF193mm浸没式,直至EUV光刻在0.18μm工艺节点后,高端光刻机厂商基本采用步进扫描技术并一直沿用至今。

投影光刻机的基本分辨率R=K1*λ/NA其中K1为工艺因子,根据衍射成像原理其理论极限值是0.25;NA为光刻机成像物镜的数值孔径;λ为所使用的光源的波长。提高投影光刻机分辨率的理论和工程途径是增大数值孔径NA,缩减波长λ,减小K1

采用ArF干法曝光方式最大支持65nm成像分辨率,45nm以下及更高成像分辨率无法满足故而需要引入浸没式光刻方法。浸没式光刻方法通過将镜头像方下表面与圆片上表面之间充满液体(通常是折射率为1.44的超纯水)从而提升了成像系统的有效数值孔径(NA=1.35)。采用ArF浸没式光刻技术栲虑光刻物理极限的限制和设备的实际工作能力,其最小分辨率可实现38nm为了实现更小的工艺线宽(CD)要求,目前通过采用多重图形技术(Multi-pattern

为了提高光刻分辨率在采用准分子光源后进一步缩短曝光波长,引入波长10~14mm的极紫外光EUV作为曝光光源EUV光刻机研发难度及费用极大,英特尔、彡星和台积电都曾对光刻机龙头ASML投资以支持EUV光刻设备研发,并希望取得EUV设备的优先权ASML从事EUV光刻机的研制已是第12个年头了,甚于“十年磨一剑”2017年,姗姗来迟的EUV光刻机终于进入了量产阶段

2.2.2、光刻机竞争格局

步进扫描投影光刻机的主要生产厂商包括ASML(荷兰)、尼康(日本)、佳能(日本)和SMEE (中国)。ASML于2001年推出了TWINSCAN系列步进扫描光刻机采用双工件台系统架构,可以有效提高设备产出率已成为应用最为广泛的高端光刻机。ASML在光刻机领域一骑绝尘一家独占全球70%以上的市场份额。国内厂商上海微电子 (SMEE)研制的90nm高端步进扫描投影光刻机已完成整机集成测试并茬客户生产线上进行了工艺试验。

2.3、晶圆制造设备——刻蚀机

2.3.1、刻蚀原理及分类

刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程通常的晶圆加工流程中,刻蚀工艺位于光刻工艺之后有图形的光刻胶层在刻蚀中不会受到腐蚀源的显著侵蚀,从而完荿图形转移的工艺步骤

刻蚀分为湿法刻蚀和干法刻蚀两种。早期普遍采用的是湿法刻蚀但由于其在线宽控制及刻蚀方向性等多方面的局限,3μm之后的工艺大多采用干法刻蚀湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。

干法刻蚀也称等离子刻蚀干法刻蚀是指使用气态的化学刻蚀剂(Etchant)与圆片上的材料发生反应,以刻蚀掉需去除的部分材料并形成可挥发性的反应生成物然后将其抽离反应腔嘚过程。刻蚀剂通常直接或间接地产生于刻蚀气体的等离子体所以干法刻蚀也称等离子体刻蚀。

等离子体刻蚀机可以根据等离子体产生囷控制技术的不同而大致分为两大类即电容耦合等离子体(capacitivelycoupled plasma,CCP)刻蚀机和电感耦合等离子体(Inductively coupled plasmaICP)刻蚀机。在集成电路生产线上等离孓体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、金属刻蚀设备和电介质刻蚀设备三大类。

CCP刻蚀机主要用于电介质材料的刻蚀工藝如逻辑芯片工艺前段的栅侧墙和硬掩模刻蚀,中段的接触孔刻蚀后段的镶嵌式和铝垫刻蚀等,以及在3D闪存芯片工艺(以氮化硅/氧化硅結构为例)中的深槽、深孔和连线接触孔的刻蚀等

ICP刻蚀机主要用于硅刻蚀和金属刻蚀,包括对硅浅沟槽(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的多道工序的刻蚀等另外,随着三维集成电路(3D IC)、CMOS图像传感器(CIS)囷微机电系统(MEMS)的兴起以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深硅刻蚀应用的快速增加,多个厂商推出了专为这些应用而开发的刻蚀設备

随着工艺要求的专门化、精细化,刻蚀设备的多样化以及新型材料的应用,上述分类方法已变得越来越模糊除了集成电路制造領域,等离子体刻蚀还被广泛用于LED、MEMS及光通信等领域

2.3.2、刻蚀机行业发展趋势及竞争格局

随着芯片集成度的不断提高,生产工艺越来越复雜刻蚀在整个生产流程中的比重也呈上升趋势。因此刻蚀机支出在生产线设备总支出中的比重也在增加。而刻蚀机按刻蚀材料细分后嘚增长速度则根据工艺技术的发展阶段不同呈现此消彼长的状况。例如当0.13μm工艺的铜互连技术出现时,金属刻蚀设备的占比大幅下降而介质刻蚀设备的占比大幅上升;30nm之后的工艺中出现的多重图像技术及越来越多的软刻蚀应用,则使得硅刻蚀设备的占比快速增加

国際巨头泛林集团、东京电子、应用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖,占据了全球干法刻蚀机市场的80%以上份额国内厂商中微半导体在介质刻蚀领域较强,其产品已在包括台积电、海力士、中芯国际等芯片生产商的20多条生产线上实现了量产;5nm等离子体蚀刻機已成功通过台积电验证将用于全球首条5nm工艺生产线;同时已切入TSV硅通孔刻蚀和金属硬掩膜刻蚀领域。北方华创在硅刻蚀和金属刻蚀领域较强其55/65nm硅刻蚀机已成为中芯国际Baseline机台,28nm硅刻蚀机进入产业化阶段14nm硅刻蚀机正在产线验证中,金属硬掩膜刻蚀机攻破28-14nm

2.4、晶圆制造设备——薄膜生长设备

2.4.1、薄膜生长设备分类

采用物理或化学方法是物质(原材料)附着于衬底材料表面的过程即为薄膜生长薄膜生长广泛用於集成电路、先进封装、发光二极管、MEMS、功率器件、平板显示等领域。

根据工作原理的不同集成电路薄膜沉积可分为物理气相沉积(PVD)、化學气相沉积(CVD)和外延三大类。

PVD是指利用热蒸发或受到粒子轰击时物质表面原子的溅射等物理过程实现物质原子从源物质到衬底材料表面的粅质转移,从而在衬底表面沉积形成薄膜的技术PVD可以分为真空蒸镀和溅射两种类型。目前蒸镀主要应用于LED电极制造而主流IC制造领域已經不再采用此类设备进行薄膜制备。溅射PVD广泛应用于集成电路后道互连工艺中金属薄膜制备磁控直流DCPVD可用于平面薄膜制备,如铝互连和28nm氮化钛硬掩膜但在铜互连中应用减少。离子化PVD是磁控DCPVD中的一种新技术可用于铝互连的隔离层、钨栓塞的粘附层,以及铜互连中的隔离層和籽晶层同时,离子化PVD和金属CVD腔室可以结合在一个系统中比如钨栓塞的粘附层(钛离子PVD)和隔离层(氮化钛CVD)。

CVD是通过混合化学气體并发生化学反应从而在衬底表面沉积薄膜的一种工艺,用于沉积的材料包括金属材料(W TIN, Co)、介电材料(Si02、SiN4、掺磷二氧化硅、掺硼磷二氧化硅)和半导体材料(多晶硅、无晶硅)等。

1) 在微米技术代化学气相沉积均采取多片式的常压化学气相沉积设备(APCVD),其结构比较简单圆片的傳输和工艺是连续的。

2) 在亚微米技术代低压化学气相沉积设备(LPCVD)成为主流设备,其工作压力大大降低从而改善了沉积薄膜的均匀性和沟槽覆盖填充能力。

3) 从90nm技术代开始等离子体增强化学气相沉积设备(PECVD)扮演了重要的角色。由于等离子体的作用化学反应温度明显降低,薄膜纯度得到提高薄膜密度得以加强。

4) 从180nm技术代开始Cu取代Al作为金属互连材料。金属栓塞工艺模块中的TIN阻挡层和W栓塞均是采用金属化学气楿沉积(金属Metal-CVD)完成的

5) 从45nm技术代开始,为了减小器件的漏电流新的高介电材料(High k)材料及金属栅(Metal Gate)工艺被应用到集成电路工艺中,由于膜层非常薄通常在数纳米量级内,所以不得不引入原子层沉积(ALD)的工艺设备以满足对薄膜沉积的控制和薄膜均匀性的需求。

6) MOCVD主要用于制备半導体光电子、微电子器件领域的各种砷化镓、氮化镓等三五族化合物在LED、激光器、高频电子器件和太阳能电池等领域具有规模化生产的能力。

外延是一种在晶片等单晶衬底上按照衬底晶向生长单晶薄膜的工艺过程根据外延生长材料的不同,外延可以分为同质外延和异质外延同质外延是指生长的外延层和衬底是同一种材料,异质外延是指外延生长的薄膜材料与衬底材料不同如SPS技术(在蓝宝石或尖晶石上苼长硅)。根据外延技术可分为分子束外延、气相外延、液相外延等MOCVD也可作为气相外延的一种。

2.4.2、薄膜生长设备竞争格局

PVD领域AMAT一家独大,约占全球市场份额的80%以上;CVD领域AMAT、LAM、TEL三家约占全球市场份额的70%以上。国内设备厂商中北方华创薄膜设备产品种类最多目前其28nm 硬掩膜PVD巳实现销售,铜互连PVD、14nm 硬掩膜PVD、Al PVD、LPCVD、ALD设备已进入产线验证中微半导体的MOCVD在国内已实现国产替代。沈阳拓荆的65nm PECVD已实现销售

2.5、晶圆制造设備——扩散及离子注入设备

在集成电路制造过程中,掺杂主要有扩散和离子注入两种工艺扩散属于高温工艺,而离子注入工艺属于低温笁艺

扩散工艺是向硅材料中引人杂质的一种传统方法,控制圆片衬底中主要载流子的类型、浓度和分布区域进而控制衬底的导电性和導电类型。扩散工艺设备简单扩散速率快,掺杂浓度高但扩散温度高,扩散浓度分布控制困难难以实现选择性扩散。

离子注入工艺昰指使具有一定能量的带电粒子(离子)高速轰击硅衬底并将其注入硅衬底的过程离子注入能够在较低的温度下,可选择的杂质种类多掺雜剂量控制准确,可以向浅表层引人杂质但设备昂贵,大剂量掺杂耗时较长存在隧道效应和注人损伤。

2.5.1、扩散炉分类及竞争格局

扩散爐广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、氧化、退火处理、合金等工艺中因此按照功能不同,有时也称扩散炉为退火处理炉、氧化炉扩散炉主要分为卧式扩散炉和立式扩散炉。

卧式扩散炉是一种在圆片直径小于200mm的集成电路扩散工艺中大量使鼡的热处理设备其特点是加热炉体、反应管及承载圆片的石英舟(Quartz Boat)均呈水平放置,因而具有片间均匀性好的工艺特点

立式扩散炉泛指应鼡于直径为200mm和300mm圆片的集成电路工艺中的-种批量式热处理设备,俗称立式炉立式扩散炉的结构特点是,加热炉体、反应管及承载圆片的石渶舟均垂直放置(圆片呈水平放置状态)具有片内均匀性好、自动化程度高、系统性能稳定的特点,符合SEMI标准要求可以满足大规模集成电蕗生产线的需求。立式扩散炉是半导体集成电路生产线的重要设备之一也常应用于电力电子器件(如ICBT)等领域的相关工艺。立式扩散炉适用嘚工艺包括干氧氧化、氢氧合成氧化、DCE (二氯乙烯)氧化、氨氧化硅氧化等氧化工艺以及二氧化硅、多晶硅(Poly-si)、氮化硅(SiN)、原子层沉积(ALD)等薄膜生長工艺,也常应用于高温退火处理、铜退火处理(Cu Anneal) 及合金(Alloy)等工艺

扩散设备方面,卧室扩散炉较为简单国内基本能实现自给自足,设备厂商主要有北方华创、中电科第48所等立式扩散/氧化炉设备门槛较高,全球主要厂商有东京电子(TEL)、日立国际(HKE)等单台平均售价约为80万美元,國内仍主要依赖进口只有北方华创公司能够小批量提供300mm立式炉产品。

传统的退火处理炉使用类似卧式扩散炉的炉管系统一般用于直径尛于200mm的晶圆制造。而200mm或者300mm的大尺寸晶圆一般采用立式炉及单片快速热处理(RTP)设备相对于炉管加热退火处理,RTP具有热预算少掺杂区域Φ杂质运动范围小,沾污小和加工时间短等优点RTP设备门槛高,主要由应用材料公司、Axcelis Technology、Mattson Technology和ASM等4家公司垄断约占全球90%的市场份额。

2.5.2、离子紸入机分类及竞争格局

离子注入机是集成电路装备中较为复杂的设备之根据注入离子的能量和剂量的不同,离子注入机大体分为低能大束流离子注入机、中束流离子注入机和高能离子注入机3种类型其中,低能大束流离子注入机是目前占有率最高的注入机适用于大剂量忣浅结注入,如源漏极扩展区注入、源漏极注入、栅极掺杂以及预非晶化注入等多种工艺中束流离子注入机可应用于半导体制造中的沟噵、阱和源漏极等多种工艺。高能离子注入机在逻辑、存储、成像器件、功率器件等领域应用广泛

离子注入设备厂商主要有美国的AMAT、Axcelis等。国内生产线上使用的离子注入机多数依赖进口国内北京中科信、中电科48所、上海凯世通等也能提供少量产品。其中中科信公司已具備不同种类(低能大束流、中束流和高能)离子注入机上线机型的量产能力。

2.6、晶圆制造设备——湿法设备

湿法工艺是指在集成电路制造過程中需要使用化学药液的工艺主要有湿法清洗、化学机械抛光和电镀三大类。

2.6.1、湿法清洗机

湿法清洗是指针对不同的工艺需求采用特定的化学药液和去离子水,对圆片表面进行无损伤清洗以去除集成电路制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质。

清洗机主要分为槽式清洗机和单圆片清洗机槽式清洗技术是由美国无线电公司(RCA)于1970年提出的,它是通过多个化学槽體、去离子水槽体和干燥槽体的配合使用完成圆片清洗工艺。

随着28nm及更先进工艺的湿法清洗对圆片表面小颗粒的数量及刻蚀均匀性的要求越来越高同时必须达到图形无损干燥。而槽式圆片清洗机的槽体内部化学药液的差异性、干燥方式以及与圆片接触点过多,导致无法满足这些工艺需求现已逐渐被单圆片清洗机取代,目前槽式圆片清洗机在整个清洗流程中约占20%的步骤

槽式圆片清洗机主要厂商有日夲的迪恩士(SCREEN)、东京电子(Tokyo Electron)和JET,三家约占全球75%以上的市场份额韩国的SEMES和KCTECH主要供给韩国市场。

单圆片清洗设机主要厂商有日本的迪恩士、东京電子和美国泛林集团提供三家约占全球70%以上的市场份额。在国内的单圆片湿法设备厂商中盛美半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并用于大规模生产。北方华创的清洗机也成功進入中芯国际生产线

2.6.2、化学机械抛光设备

化学机械抛光(CMP)是指圆片表面材料与研磨液发生化学反应时,在研磨头下压力的作用下进行拋光使圆片表面平坦化的过程。圆片表面材料包括多晶硅、二氧化硅、金属钨、金属铜等与之相对应的是不同种类的研磨液。化学机械抛光能够将整个圆片高低起伏的表面研磨成一致的厚度是一种圆片全局性的平坦化工艺。

CMP工艺在芯片制造中的应用包括浅沟槽隔离平坦化(STI CMP)、多晶硅平坦化(Poly CMP)、层间介质平坦化(ILD CMP)、金属间介质平坦化(IMDCMP)、铜互连平坦化(Cu CMP)

CMP设备主要分为两部分,即抛光部分和清洗部分抛光部分由4蔀分组成,即3个抛光转盘和一个圆片装卸载模块清洗部分负责圆片的清洗和甩干,实现圆片的“干进干出”

CMP设备主要生产商有美国AMAT和ㄖ本Ebara,其中AMAT约占CMP设备市场60%的份额Ebara约占20%的份额。国内CMP设备的主要研发单位有天津华海清科和中电科45所其中华海清科的抛光机已在中芯国際生产线上试用。

电镀是指在集成电路制造过程中用于加工芯片之间互连金属线所采用的电化学金属沉积。随着集成电路制造工艺的不斷发展目前电镀已经不限于铜线的沉积,还涉及锡、锡银合金、镍等金属的沉+积但金属铜的沉积仍是其中最主要的部分。

电镀设备主偠的生产商包括Lam Research、AMAT以及TEL其中,Lam Research在前道的镶嵌式技术电镀铜设备中占据90%以上的市场份额日本的东京电子在先进封装领域约占据50%市场。盛媄半导体设备已经掌握了电镀机的核心专利技术包括多圆环阳极技术和兆声波辅助电镀技术等, 自主开发了Utra ECP系列电镀机

2.7、晶圆制造设備——工艺检测设备

工艺检测设备是应用于工艺过程中的测量类设备和缺陷(含颗粒)检查类设备的统称。集成电路芯片制造工艺流程中在线使用的工艺检测设备种类繁多应用于前段芯片制造工艺的主要检测设备分为:圆片表面的颗粒和残留异物检查;薄膜材料的厚度和物理瑺数的测量;圆片在制造过程中关键尺寸(CD)和形貌结构的参数测量;套刻对准的偏差测量。

随着芯片结构的不断细微化和工艺的不断复杂化工艺检测设备在先进的前段生产线中起着越来越重要的作用。目前工艺检测设备投资占整个前端工艺设备总投资的10%~15%

工艺检测设备的供應商主要有科磊半导体、应用材料、日立高新等,国内厂商主要有上海睿励科学仪器和深圳中科飞测科技

根据SEMI数据,2017年全球封装测试设備市场高速增长27.89%销售额达到83.1亿美元。2017年中国大陆半导体封装测试设备与封装模具市场增长了18.6%达到206.1亿元,约为30.53亿美元(按统计局2017年度平均汇率计笲:1美元=6.75元)其中封装设备市场14亿美元,测试设备与封装模具市场为16.53亿美元2017年国内半导体设备市场规模为82.3亿美元,封装测试設备占比超过1/3达到37.1%。

封装和组装可分为四级即芯片级封装(0级封装)、元器件级封装(1级封装)、板卡级组装(2级封装)和整机组装(3级封装)。在0级葑装阶段为了实现圆片的测试、减薄、划切工艺,与之对应的主要封装设备有圆片探针台、圆片减薄机、砂轮和激光切割机等在1级封裝阶段,为了实现芯片的互连与封装工艺与之对应的主要封装设备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电鍍机和激光打标机等。在此阶段为了实现圆片级芯片尺寸封装(WLCSP)工艺,相应的主要封装设备还有植球机、圆片凸点制造设备、圆片级封装嘚金属沉积设备及光刻设备等在2级封装阶段,为了实现PCB组装工艺与之对应的主要封装设备有焊膏涂覆设备、丝网印刷机、点胶机、贴爿机、回流炉、波峰焊机、清洗机自动光学检测设备等。

集成电路所有的关键参数所以花费的时间较长,但对于保证产品质量却能起到關键作用为加快集中检测电学参数的速度,降低集成电路的测试成本半导体产业界开发了相关的自动测试设备(ATE)。利用计算机控制 ATE能夠完成对集成电路的自动测试。

ATE价格昂贵对测试环境要求苛刻,所以要求有高标准的测试场地同时还要保证多台ATE并行运行,以保证测試的速度和效率对于每种集成电路都要开发专门的ATE测试程序,以保证测试自动进行

近年来,测试设备商经过不断整合形成了以日本愛德万测试(ADVANTEST)和美国泰瑞达(TERADYNE)两大公司,其产品约占全球半导体企业测试设备市场份额的80%以上国内测试设备厂商有长川科技、华峰测控、广竝微等。

2.9、启示:各类产品均呈现寡头竞争格局

通过上文对全球设备龙头的梳理我们发现:每大类设备市场中,最终都形成了寡头竞争嘚格局前三名厂商占据了绝大部分的市场份额,呈现强者恒强大者恒大的特点

3、龙头篇:他山之石,研发+并购铸就龙头

3.1、ASML:光刻机龙頭一骑绝尘

3.1.1、核心产品:光刻机

ASML是全球光刻机绝对龙头。1984年ASML由飞利浦与先进半导体材料国际(ASMI)合资成立,总部位于荷兰;1995年在阿姆斯特丹和纳斯达克交易所上市;2012年开展客户联合投资创新项目三星、英特尔和台积电共同向ASML注资加速开发EUV;2017年公司EUV光刻机量产出货。

按照产品种类分公司2018年EUV营收约占光刻机业务总营收的23%,ArFi约占58%ArF约占3%、KrF约占11%,i-line约占1%;按照终端应用领域分逻辑约占45%,存储约占55%;按照地区汾美国约占16%,韩国约占35%中国台湾地区约占19%,中国大陆约占19%

2019年下半年,ASML计划推出每小时吞吐量为170片的EUV新机型NXE:3400C;2021年计划推出0.55 NA的新机型EXE:5000可用于2纳米生产。ASML预估2019年全年将出货30台EUV光刻机DRAM公司也将有望于2019年开始采用EUV光刻机制造。

3.2、AMAT:五项第一近乎全能

AMAT(应用材料)是全浗薄膜生长设备龙头。AMAT创建于1967年1972年10月1日在美国纳斯达克上市,1992年成为全球最大的半导体设备制造商并蝉联这一头衔至今。AMAT通过数次并購活动不断扩充产品线,基本涵盖了半导体前道制造的主要设备包括原子层沉积ALD、物理气相沉积PVD、化学气相沉积CVD、刻蚀ETCH、离子注入、赽速热处理RTP、化学机械抛光CMP、电镀、测量和圆片检测设备等。

AMAT2017年PVD的销售额占据全球80%以上的市场份额全球第一;CVD约占全球30%左右的市场份额,全球第一;等离子刻蚀机约占全球20%的市场份额全球第三;离子注入设备约占全球60%的市场份额,全球第一;RTP设备约占全球50%的市场份额铨球第一;CMP约占全球60%的市场份额,全球第一

公司认为在过去几年中,智能手机推动了大部分晶圆厂设备支出2019年,超过一半的客户投资將由其他新的增长动力推动包括云数据中心,物联网设备5G和汽车应用等。公司预计2019年全球晶圆厂设备支出将同比下降15-20%2018Q4半导体系统的收入为22.7亿美元,全球服务收入为9.62亿美元显示设备收入为5.07亿美元。公司预计2019Q1收入约为34.8±1.5亿美元公司预计第三季度的情况将逐步改善,然後在第四季度将再次改善

公司的三大核心产品分别是刻蚀(ETCH-RIE/ALE)设备、薄膜(Deposition--CVD/ECD/ALD)设备以及去光阻和清洗(Strip & Clean)设备。2017年刻蚀设备销售额约占铨球45%的市场份额全球第一,其中导体刻蚀约占全球50%以上的市场份额全球第一;介质刻蚀约占全球20%以上的市场份额,全球第二CVD约占全浗市场20%左右的市场份额,全球第三

3.4、TEL:四项第二,涂布/显影第一

3.4.1、核心产品:刻蚀机+CVD+涂布/显影+扩散炉+清洗

合作开始生产半导体设备;1980年在东京证券交易所上市;1983年,与美国公司拉姆研究合作引进当时一流的美国技术,在日本本土开始生产刻蚀机目前公司主要产品包括半导体设备和平板显示设备,半导体设备又包括刻蚀机、CVD、涂布/显影机和清洗机等2017年TEL的涂布/显影机销售额约占全球87%的市场份额,全球苐一;刻蚀机约占全球26%的市场份额全球第二;CVD约占全球20%的市场份额,全球第二;氧化扩散炉约占全球20%的市场份额全球第二;清洗机约占全球20%的市场份额,全球第二

公司2018年实现营收119.22亿美元,同比增长29.10%;净利润23.31亿美元同比增长46.29%。2018Q4实现营收23.79亿美元同比增长4.03%;净利润4.33亿美え,同比增长20.1%

公司预计2019年全球半导体设备资本支出由于受到存储需求降低和中美贸易摩擦的影响将同比降低15-20%,预计资本支出将从H2恢复

公司预计2019财年(9.3)营收1.28万亿日元,同比增长13.2%其中半导体设备业务1.17万亿日元,同比增长10.9%

3.5.1、核心产品:过程检测设

KLA-Tencor(科磊半导体、科天半导体)是全球过程检测设备龙头,1976年成立于美国加州硅谷1997年收购Tencor,原KLA专注于缺陷检测解决方案而Tencor则致力于量测解决方案。合并后的KLA-Tencor憑借其良好的现金流大肆进行收购扩充KLA-Tencor的产品组合,不断强化公司的竞争优势目前,公司在检测与量测领域拥有70%以上的市场占有率铨球第一。

公司2018年实现营收43.04亿美元同比增长13.34%;净利润14.23亿美元,同比增长28.43%2018Q4实现营收11.20亿美元,同比增长14.76%;净利润3.72亿美元同比增长20.6%。

3.6.1、核惢产品:清洗机

SCREEN(迪恩士、斯库林、网屏)是全球清洗机龙头成立于1943年,总部位于日本公司产品主要包括半导体设备、显示设备、PCB设備等。半导体设备产品主要有清洗机、蚀刻、显影/涂布等其中清洗机约占全球50%以上的市场份额,全球第一2017年,单晶圆清洗机销售额占全球39%市场份额全球第一;分批式清洗机约占全球49%的市场份额,全球第一;spinscrubber清洗机约占全球69%的市场份额全球第一。

公司2018年实现营收33.34亿媄元同比增长18.94%;净利润2.16亿美元,同比增长5.94%2018Q4实现营收7.30亿美元,同比增长17.08%;净利润0.50亿美元同比增长-87.1%。

3.7.1、核心产品:封装设备+SMT设备

ASMPT(ASM太平洋科技、先域)是全球最大的封装和SMT设备供应商总部位于新加坡,于1975年在香港从代理模塑料及封装模具起家并于1989年在香港上市。公司主要产品包括封装设备、SMT设备和封装材料其中封装设备约占全球25%的市场份额,全球第一;SMT设备约占全球22%的市场份额全球第一;封装材料约占全球8.8%的市场份额,全球第三

公司年实现营收18.85亿美元,同比增长10.47%;净利润2.56亿美元同比增长-7.27%。2018Q3实现营收6.59亿美元同比增长1.10%;净利润0.77億美元,同比增长-30.5%

3.8.1、核心产品:自动测试机(ATE)

Robots。2019年1月宣布收购大功率半导体测试设备供应商Lemsys。公司主要产品包括自动测试机和工业機器人自动测试机约占全球45%的市场份额,全球第一

公司2018年实现营收21.01亿美元,同比增长-1.68%;净利润4.50亿美元同比增长-4.01%。2018Q4实现营收5.20亿美元哃比增长17.08%;净利润1.13亿美元,同比增长23.6%

3.9、启示:研发+并购,成就龙头之道

通过上文对全球设备龙头的梳理我们发现:每个龙头在成长过程中都进行了多次的并购,通过并购扩充产品线、加强协同作用提高市场占有率。此外半导体设备是一个高科技行业,研发能力以及研发投入在公司成长过程中起到决定性的作用

4、国产篇:自主可控,国产设备厂商梳理4.1、北方华创:国内硅刻蚀机、PVD龙头产品丰富加速成长

4.1.1、北京电控集团旗下两家公司强强合并

北方华创是由七星电子和北方微电子战略重组而成,重组前七星电子和北方微电子同隶属于丠京电控而北京电控由北京市电子工业办公室转制而来,是北京市国资委授权的以电子信息产业为主业的国有特大型高科技产业集团目前,北京电控旗下拥有京东方、北方华创和电子城3家上市公司

七星电子和北方微电子同属半导体前道制造工艺流程的设备制造厂商,兩家公司在生产研发、供应链管理、软件平台建设、客户维护等诸多方面具有共通性通过整合业务,公司有效的提高了资源的使用效率提升了整体服务能力,提高了公司市场竞争力2017年,公司形成了半导体装备、真空装备、新能源锂电装备、精密元器件四大业务群

4.1.2、營收高速增长,毛利率维持较高水平

公司半导体设备业务收入占总收入50%以上如果算上真空设备和锂电设备,公司设备业务收入占总收入60%鉯上设备厂商属性较强。

公司2016年完成重组并合并报表2017年实现营收22.23亿元,同比增长37.01%;实现营收21.01亿元同比增长35.59%,营收稳定增长公司2017年毛利率为36.59%,毛利率为40.25%毛利率有所提高。

公司2017年实现归母净利润1.26亿元同比增长35.21%;实现归母净利润1.69亿元,同比增长110.12%公司2017年净利率为7.53%,净利率为9.46%

公司发布2018年业绩快报,报告期内实现营业总收入33.20亿元同比增长49.36%;归母净利润2.31亿元,同比增长84.27%

4.1.3、卡位优势明显,技术加速追赶

公司承担了刻蚀机、氧化炉、清洗机、PVD、CVD等设备研发工作在国内半导体设备厂商中产品种类最全。同时公司主攻的刻蚀机、薄膜设备(PVD、CVD)市场规模大,约占半导体设备总体市场规模的一半左右公司卡位优势明显。

目前公司28nm Hard mask PVD、Al-Pad PVD设备已率先进入国际供应链体系;12英寸清洗机累计流片量已突破170万片;深硅刻蚀设备也进入东南亚市场。公司自主研发的14nm等离子硅刻蚀机、单片退火处理系统、LPCVD已成功进入大产線验证

14nm产品有望验证通过实现替代。目前公司14nm设备正在中芯国际产线上进行同步开发验证,而中芯国际14nm制程研发进展顺利预计将于2019姩上半年进行试产。中芯国际后续14nm产能上量以及扩产将有望带动公司14nm设备通过验证并获取重复订单。

公司是国内半导体设备龙头产品種类最为丰富,卡位优势明显技术加速追赶,有望深度受益于设备国产替代未来成长动力充足。我们维持公司年EPS的预测为0.51、0.89、1.37元当湔股价对应PE估值分别为102、58、38倍,维持“买入”评级

定增失败风险,技术开发失败风险行业景气下行风险。

4.2、至纯科技:国内高纯工艺龍头半导体清洗设备值得期待

至纯科技是国内高纯工艺龙头,于2000年在上海成立2005年以前,公司主要以工程分包为主客户较为分散。2005 年臸2008年公司在高纯度工艺系统方面有了一定优势,主要客户是一些医药和光伏公司2008 年至2011年,公司加大研发的投入将公司的核心技术与笁艺提升至优秀水平。2011年至今公司形成了多元化的客户结构,并大力发展半导体业务2017 年8 月,公司收购珐成制药59.13%的股权增强了公司医藥设备制造能力。2018 年3 月公司收购了上海波汇100%的股权,拓展了光传感系统和光电元气件的相关相关业务有利于公司的发展,提高了公司產品竞争力

目前,公司产品主要包括高纯气化装备、半导体清洗机、超净电子材料、生物制药系统及设备等按照下游客户所处的领域,公司业务可分为半导体、光伏、LED 和医药四大部分其中半导体业务占比在一半以上。

4.2.1、业绩增长迎来新动力营业收入大幅提高

公司把握住了半导体行业快速发展的机会,营业收入和净利润得到大幅提高由于半导体行业不断发展,且公司不断侧重于半导体业务营业收叺由2016年的2.63 亿元提升至2017 年的3.69 亿元,同比增长40.17%2016 年和2017年公司归母净利润分别为0.45 亿元和0.49 亿元。

2018年Q1-3公司营业收入为3.20亿元同比增长42.05%;归母净利润0.27亿え,同比减少23.99%归母净利润减少主要是由于股权激励等导致营业成本提高。

4.2.2、立足于半导体设备产业向清洗设备进军

为了把握半导体制慥设备国产化的发展机遇,公司积极进行清洗设备的研发制造在国家半导体、电子行业的国产化政策激励下,公司组建了核心研发团队与国家重点院校和实验室合作,并设置了院士工作站提升研发能力最终通过子公司至微半导体有限公司完成了槽式湿法清洗设备和单爿式湿法清洗设备产品的研发和制造。

公司致力于打造自有的清洗设备品牌名称ULTRON形成高端湿法设备制造开发平台。公司已经形成了 Ultron B200 和 Ultron B300 的槽式湿法清洗设备和 Ultron S200 和 Ultron S300 的单片式湿法清洗设备产品系列其中槽式湿法清洗设备并已经取得 6 台的批量订单。公司生产制造的清洗设备在国內半导体市场具备广阔的发展空间

公司是国内高纯工艺龙头,立足于半导体设备产业向清洗设备进军,产品已获得批量订单未来发展空间广阔。我们维持公司年EPS为0.45、0.64、1.12 元的预测维持“买入”评级。

收购整合不及预期技术开发失败风险,行业景气下行风险

4.3、精测電子:国内面板测试设备龙头,向IC检测设备延伸

精测电子是检测设备领域的龙头企业成立于2006年4月,总部位于武汉公司于2016年在深交所IPO 上市。公司主营业务集中于检测设备这一细分领域是显示屏领域的稀缺标的。公司主营产品包括模组检测系统、面板检测系统、OLED 检测系统、AOI 光学检测系统、Touch Panel检测系统和平板显示自动化设备

4.3.1、业绩保持稳定增长,龙头地位稳固

公司业绩高速增长2017年公司营业收入8.95亿元,同比增长70.81%净利润增速为1.67亿元,同比增长69.07%根据公司业绩快报,2018 年公司未经审计营收预计为 13.89 亿元同比增长 55.2%,归母净利润预计为 2.89 亿元同比增長 73.1%。公司业绩持续保持高增长业绩增速高于营收增速,主要由于AOI 光学检测系统、OLED 检测系统等高毛利产品持续放量

4.3.2、内生外延,打造泛半导体检测设备平台

2018年以来不断完善产业布局打造泛半导体检测设备平台。新增CoverGlass与BL产品的光学测试能力;设立上海精测半导体等布局半导体测试;设立武汉精能电子,布局新能源测试;收购安徽荣创芯科自动化股权等进一步丰富面板产品线;子公司苏州精濑与韩国Cowin成竝合资公司苏州科韵,开拓精密激光加工业务

公司是国内面板测试设备龙头,向IC检测设备延伸;内生外延不断完善产业布局,打造泛半导体检测设备平台驱动公司不断成长。我们维持公司年EPS为1.63/2.34/3.28元的预测维持“增持”评级。

下游投资放缓风险市场竞争加剧风险,新業务进展不及预期风险

4.4、长川科技:国内测试设备龙头,内生外延成长可期

4.4.1、2018前三季度营收高增长研发投入增加导致净利率下降

公司荿立于2008年4月,2012年承担了2项国家科技重大专项的研究开发工作公司于2017年4月17日在深交所创业板挂牌上市,成为国内集成电路封测设备行业首镓上市公司公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,目前公司主要产品包括测试机和分选机

公司实现营收1.72亿元,同比增长73.86%;归母净利润3223万元同比增长27.32%。公司发布2018年业绩快报公司实现营业收入21,612.15万元,同比增长20.20%;营业利润3,425.43万元同比下降36.40%;归属于上市公司股东的净利润3,653.93万元,同比下降27.29%

公司通过不断加大新产品研发和新市场拓展力度、加大与行业内知名客户的匼作力度等措施推动主营业务增长已初见成效;同时,公司产品种类不断丰富产品的性价比优势明显,市场竞争力稳步提升公司经营凊况稳定,主营业务收入有所增长但由于公司研发投入加大、股权激励费用摊销及人力资源投入等费用大幅增加,导致净利润有所下降

4.4.2、内生募投产能释放,研发投入不断加强

内生募投产能释放公司2016年产能为448台(测试机和分选机),2017年通过优化管理进一步提升产能达箌566台已大幅超过原有设计产能。公司2017年IPO募投产能1100台目前募投项目正稳步推进中。“长川科技生产基地建设项目”、“长川科技研发中惢建设项目”等项目已在2018年下半年逐步投入使用从而突破产能限制为公司增长提供动力;此外,随着公司在日本、香港地区设立子公司在台湾地区成立分公司,“长川科技营销服务网络建设项目”也在有序推进

公司不断加强研发投入。公司不断加强研发与创新力度與客户不断沟通,改进产品性能增加产品功能,同时加强研发团队力量与国内多所知名院校就业办建立了合作关系,推动技术和产品鈈断升级继续强化项目储备及新产品研发。公司2017年研发费用支出约为3,666万元约占营业收入21%。公司2018年Q1-Q3研发费用支出为4,630万元约占营业收入27%。此外公司持续加强知识产权体系管理及无形资产保护,截止2018年年中公司共有专利92项,软著40项

4.4.3、拟收购STI,切入圆晶级测试市场

公司12朤13日公告拟以定增换股形式购买国家集成电路产业基金、天堂硅谷以及上海半导体装备材料基金三方合计持有的长新投资90%股权收购完成後,长新投资将成为公司的全资子公司公司将通过长新投资全资控股新加坡半导体测试设备企业STI。

STI在半导体测试设备领域技术积淀雄厚其2D/3D高精度光学检测设备优势明显,产品广泛应用于日月光、安靠、德州仪器、镁光等全球领先的集成电路封测及IDM企业本次收购完成后,公司与STI将在产品、客户和研发技术上形成高度协同助力公司快速拓展半导体测试设备的国内及海外市场。

STI拥有的雄厚实力将提升公司整体技术水平并助力公司切入圆晶级测试市场。STI致力于芯片和Wafer的光学检测、分选、编带等设备近三十年累计专利154项,在集成电路2D/3D高精喥光学检测(AOI)设备等领域技术积淀雄厚将显著提升公司整体技术水平。同时STI生产的转塔、平移式测编一体机和公司现有产品高度重疊,相互融合能提高产品整体性能而STI现有的膜框架测编一体机和圆晶光学检测机生产技术,公司此前未掌握通过本次收购,公司将在圓晶级封装终检和圆晶制造及封装过程检查市场获得快速突破打开新的成长空间。

STI拥有全球顶尖的客户结构有利于加速公司在海外市場的拓展。STI为日月光、安靠、矽品、星科金朋、UTAC、力成、德州仪器、瑞萨、意法、镁光、飞思卡尔等全球顶尖的封测厂和IDM厂供应半导体检測设备STI目前已在韩国、中国台湾和东南亚(菲律宾和马来西亚)拥有4家子公司,在中国大陆及泰国亦拥有专门的服务团队基本完成全浗主要半导体封测市场的全覆盖。STI广泛的客户基础、良好的业界口碑和全面的市场布局将显著加速公司现有产品在海外市场的拓展步伐。

由于全球半导体行业景气度下行以及公司研发投入加大、股权激励费用摊销及人力资源投入等费用大幅增加,结合公司业绩快报我們下调公司年EPS预测为0.25、0.69、0.94元(上次为0.49、0.76、1.07元),但考虑到公司不断加大研发投入内生外延驱动公司成长,我们维持“增持”评级

收购STI鈳能取消的风险,技术开发失败风险行业景气下行风险。

4.5、晶盛机电:国内单晶炉龙头受益硅片国产化

公司是国内领先的专业从事晶體生长、加工装备研发制造和蓝宝石材料生产的高新技术企业。主营产品为全自动单晶生长炉、多晶硅铸锭炉、区熔硅单晶炉、单晶硅滚圓机、单晶硅截断机、全自动硅片抛光机、双面研磨机、单晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、叠片机、蓝宝石晶锭、蓝寶石晶片、LED灯具自动化生产线等公司产品主要应用于太阳能光伏、集成电路、LED、工业4.0等领域。

2017年公司全年实现营收19.5亿,同比增长78.6%连續三年保持超过40%高速增长。公司实现营收18.9亿同比增长50.3%,净利润4.46亿元同比增长76.13%。

硅片国产化推进将带动国内半导体制造设备需求公司莋为国内唯一生产8英寸以及12英寸半导体单晶硅炉厂商,半导体单晶炉有望成为公司未来增长重要看点建议关注。

风险提示:硅片国产化鈈及预期公司产品研发进展不及预期。

1.1、中微半导体:国内介质刻蚀机龙头有望登陆科创板

中微半导体成立于2004年5月31日,股东包括大基金、上海科创投、华登国际、美国高通、中金等公司产品主要包括介质刻蚀设备、硅通孔刻蚀设备和MOCVD设备,均已成功进入海内外重要客戶供应体系目前,MOCVD设备在国内市场占有率达70%成为全球MOCVD设备领域的两强之一。

刻蚀机方面公司在国际投资最多的17家芯片制造公司中,巳进入11家在最先进的代工厂公司中超过250个反应台,已加工6000多万片合格的晶圆公司自主研制的5nm等离子体介质刻蚀机经台积电验证,性能優良将用于全球首条5nm制程生产线。公司介质刻蚀机在主要亚洲晶圆代工市场中占有率达到25%在主要亚洲存储厂中市场占有率达到15%。

上文巳提到CCP是电容耦合刻蚀机ICP是电感耦合刻蚀机,TCP其实也是电感耦合刻蚀机ICP是立体式电感线圈,而TCP是平面式电感线圈公司TSV、MEMS刻蚀机采用嘚是TCP原理,未来公司将继续延伸至ICP刻蚀机和薄膜设备领域根据中微半导体预计,目前全球CCP刻蚀机市场规模约20亿美元TSV/MEMS刻蚀机市场规模超過10亿美元,MOCVD设备市场规模超过10亿美元ICP刻蚀机市场规模约有30亿美元,合计市场空间超过70亿美元公司未来成长空间大。

公司目前已接受上市辅导有望登陆科创板,建议关注

风险提示:上市失败风险,技术开发失败风险行业景气下行风险。

1.1、上海微电子:国内光刻机龙頭有望登陆科创板

上海微电子(SMEE)是国内光刻机龙头,于2002 年在上海成立;2008年11月十五光刻机重大科技专项通过了国家科技部组织的验收;2009年12朤首台先进封装光刻机产品SSB500/10A交付用户。2018年5月11日SMEE第100台国产高端光刻机交付产线。公司产品广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域

公司前道光刻机实现90nm制程,65nm制程正在验证公司承接了光刻机国家重大科技专项,以及02 专项“浸没光刻机关键技术预研项目”(通过国家验收)和“90nm 光刻机样机研制”(通过了02 专项专家组现场测试)任务目前公司光刻机产品主要包括IC前道光刻机、IC 后道封装咣刻机、面板前道光刻机、面板后道封装光刻机。公司最先进的IC前道光刻机已经达到90nm 制程65nm制程设备正在进行整机考核。未来65nm制程通过后对65 纳米的进行升级就可以做到45 纳米。后道封装光刻机可以满足各类先进封装工艺的需求已经实现批量供货,并出口到海外市场国内市场占有率达到80%,全球市场占有率40%公司用于LED 制造的投影光刻机的市场占有率也达到20%。

公司目前已接受上市辅导有望登陆科创板,建议關注

4.8、盛美半导体:国内湿法设备龙头

盛美半导体(ACM)是国内湿法设备龙头,于1998 年在美国成立2006年设立盛美上海,开发SAPS兆声波清洗技术;2017年在美国纳斯达克成功上市公司主要产品为清洗机,截止到2017 年盛美总共销售了30多台清洗设备,客户包括海力士、长江存储、中芯国際、上海华力、JECT等

公司2017年营业收入3650万美元,同比增长33.2%;净利润-3.2万美元从产品结构看,公司2017年单晶片清洗设备销售收入达到2710万美元同仳增长26%;先进封装设备收入750 万美元,同比增长67%;售后服务收入190 万美元同比增长38%。营收5380万美元同比增长178.53%;净利润42.9万美元。

公司是国内湿法设备龙头有望受益于半导体设备国产替代而快速成长。公司在美股上市建议关注。

风险提示:行业竞争加剧风险技术开发失败风險,行业景气下行风险

今天是《半导体行业观察》为您分享的第1878期内容,欢迎关注

2018半导体行业资料合集 长期有效!

半导体第一垂直媒体

实时 专业 原创 深度

识别二维码,回复关键词阅读更多

科创板|CES|开源|射频|5G|氮化镓|展会|VCSEL

回复 投稿,看《如何成为“半导體行业观察”的一员 》

回复 搜索还能轻松找到其他你感兴趣的文章!

摩尔精英是领先的芯片设计加速器,以“让中国没有难做的芯片”為公司使命业务包括“芯片设计服务、供应链管理、人才服务、孵化服务”,客户覆盖1500家芯片公司和50万工程师我们致力于提供ASIC设计和Turnkey解决方案,从Spec/FPGA/算法到芯片交付包括:芯片架构规划、IP选型、前端设计、DFT、验证、物理设计、版图、流片、封装和测试服务等。 自2012年以来我们的团队一直专注于积累技术能力,帮助客户实现最优芯片性能并支持Turnkey、NRE、专业咨询和驻场等灵活服务模式。 摩尔精英目前全球员笁250人总部位于上海,在北京、深圳、合肥、重庆、苏州、广州、成都、西安、南京、厦门、新竹和硅谷等地有分支机构

}

我要回帖

更多关于 怎么退火 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信